default search action
Jörg Henkel
Person information
- affiliation: Karlsruhe Institute of Technology, Germany
SPARQL queries
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j225]Abolfazl Younesi, Mohsen Ansari, MohammadAmin Fazli, Alireza Ejlali, Muhammad Shafique, Jörg Henkel:
A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends. IEEE Access 12: 41180-41218 (2024) - [j224]Abolfazl Younesi, Mohsen Ansari, MohammadAmin Fazli, Alireza Ejlali, Muhammad Shafique, Jörg Henkel:
Corrections to "A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends". IEEE Access 12: 112180 (2024) - [j223]Arya Motamedhashemi, Bardia Safaei, Amir Mahdi Hosseini Monazzah, Jörg Henkel, Alireza Ejlali:
FUSION: A Fuzzy-Based Multi-Objective Task Management for Fog Networks. IEEE Access 12: 152886-152907 (2024) - [j222]Jeferson González-Gómez, Mohammed Bakr Sikal, Heba Khdr, Lars Bauer, Jörg Henkel:
Balancing Security and Efficiency: System-Informed Mitigation of Power-Based Covert Channels. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(11): 3395-3406 (2024) - [j221]Hassan Nassar, Jonas Krautter, Lars Bauer, Dennis Gnad, Mehdi B. Tahoori, Jörg Henkel:
Meta-Scanner: Detecting Fault Attacks via Scanning FPGA Designs Metadata. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(11): 3443-3454 (2024) - [j220]Mohammed Bakr Sikal, Heba Khdr, Lokesh Siddhu, Jörg Henkel:
ML-Based Thermal and Cache Contention Alleviation on Clustered Manycores With 3-D HBM. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(11): 3614-3625 (2024) - [j219]Sahar Rezagholi Lalani, Bardia Safaei, Amir Mahdi Hosseini Monazzah, Hossein Taghizadeh, Jörg Henkel, Alireza Ejlali:
QUERA: Q-Learning RPL Routing Mechanism to Establish Energy Efficient and Reliable Communications in Mobile IoT Networks. IEEE Trans. Green Commun. Netw. 8(4): 1824-1839 (2024) - [j218]Martin Rapp, Heba Khdr, Nikita Krohmer, Jörg Henkel:
NPU-Accelerated Imitation Learning for Thermal Optimization of QoS-Constrained Heterogeneous Multi-Cores. ACM Trans. Design Autom. Electr. Syst. 29(1): 16:1-16:23 (2024) - [c373]Heba Khdr, Mustafa Enes Batur, Kanran Zhou, Mohammed Bakr Sikal, Jörg Henkel:
Multi-Agent Reinforcement Learning for Thermally-Restricted Performance Optimization on Manycores. DATE 2024: 1-6 - [c372]Hassan Nassar, Lars Bauer, Jörg Henkel:
HBMorphic: FHE Acceleration via HBM-Enabled Recursive Karatsuba Multiplier on FPGA. FCCM 2024: 217 - [c371]Hassan Nassar, Philipp Machauer, Dennis R. E. Gnad, Lars Bauer, Mehdi B. Tahoori, Jörg Henkel:
Covert-Hammer: Coordinating Power-Hammering on Multi-tenant FPGAs via Covert Channels. FPGA 2024: 43 - [c370]Jeferson González-Gómez, Hassan Nassar, Lars Bauer, Jörg Henkel:
LightFAt: Mitigating Control-Flow Explosion via Lightweight PMU-Based Control-Flow Attestation. HOST 2024: 222-226 - [c369]Lilas Alrahis, Hassan Nassar, Jonas Krautter, Dennis Gnad, Lars Bauer, Jörg Henkel, Mehdi B. Tahoori:
MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs. HOST 2024: 383-393 - [i34]Dimitrios Danopoulos, Georgios Zervakis, Dimitrios Soudris, Jörg Henkel:
TransAxx: Efficient Transformers with Approximate Computing. CoRR abs/2402.07545 (2024) - [i33]Abolfazl Younesi, Mohsen Ansari, MohammadAmin Fazli, Alireza Ejlali, Muhammad Shafique, Jörg Henkel:
A Comprehensive Survey of Convolutions in Deep Learning: Applications, Challenges, and Future Trends. CoRR abs/2402.15490 (2024) - [i32]Kilian Pfeiffer, Konstantinos Balaskas, Kostas Siozios, Jörg Henkel:
Energy-Aware Heterogeneous Federated Learning via Approximate Systolic DNN Accelerators. CoRR abs/2402.18569 (2024) - [i31]Lilas Alrahis, Hassan Nassar, Jonas Krautter, Dennis Gnad, Lars Bauer, Jörg Henkel, Mehdi B. Tahoori:
MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs. CoRR abs/2403.01860 (2024) - [i30]Jeferson González-Gómez, Hassan Nassar, Lars Bauer, Jörg Henkel:
LightFAt: Mitigating Control-flow Explosion via Lightweight PMU-based Control-flow Attestation. CoRR abs/2404.02608 (2024) - [i29]Konstantinos Balaskas, Florian Klemme, Georgios Zervakis, Kostas Siozios, Hussam Amrouch, Jörg Henkel:
Variability-Aware Approximate Circuit Synthesis via Genetic Optimization. CoRR abs/2404.04258 (2024) - [i28]Jeferson González-Gómez, Jose Alejandro Ibarra-Campos, Jesus Yamir Sandoval-Morales, Lars Bauer, Jörg Henkel:
MeMoir: A Software-Driven Covert Channel based on Memory Usage. CoRR abs/2409.13310 (2024) - [i27]Jayeeta Chaudhuri, Hassan Nassar, Dennis R. E. Gnad, Jörg Henkel, Mehdi B. Tahoori, Krishnendu Chakrabarty:
Hacking the Fabric: Targeting Partial Reconfiguration for Fault Injection in FPGA Fabrics. CoRR abs/2410.16497 (2024) - 2023
- [j217]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Jörg Henkel:
Hardware Approximate Techniques for Deep Neural Network Accelerators: A Survey. ACM Comput. Surv. 55(4): 83:1-83:36 (2023) - [j216]Kilian Pfeiffer, Martin Rapp, Ramin Khalili, Jörg Henkel:
Federated Learning for Computationally Constrained Heterogeneous Devices: A Survey. ACM Comput. Surv. 55(14s): 334:1-334:27 (2023) - [j215]Hassan Nassar, Lars Bauer, Jörg Henkel:
Effects of Runtime Reconfiguration on PUFs Implemented as FPGA-Based Accelerators. IEEE Embed. Syst. Lett. 15(4): 174-177 (2023) - [j214]Nikolay Penkov, Konstantinos Balaskas, Martin Rapp, Jörg Henkel:
Differentiable Slimming for Memory-Efficient Transformers. IEEE Embed. Syst. Lett. 15(4): 186-189 (2023) - [j213]Lokesh Siddhu, Hassan Nassar, Lars Bauer, Christian Hakert, Nils Hölscher, Jian-Jia Chen, Jörg Henkel:
Swift-CNN: Leveraging PCM Memory's Fast Write Mode to Accelerate CNNs. IEEE Embed. Syst. Lett. 15(4): 234-237 (2023) - [j212]Roozbeh Siyadatzadeh, Fatemeh Mehrafrooz, Mohsen Ansari, Bardia Safaei, Muhammad Shafique, Jörg Henkel, Alireza Ejlali:
ReLIEF: A Reinforcement-Learning-Based Real-Time Task Assignment Strategy in Emerging Fault-Tolerant Fog Computing. IEEE Internet Things J. 10(12): 10752-10763 (2023) - [j211]Victor M. van Santen, Fu Lam Florian Diep, Jörg Henkel, Hussam Amrouch:
Massively Parallel Circuit Setup in GPU-SPICE. IEEE Trans. Computers 72(8): 2127-2138 (2023) - [j210]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed Circuits. IEEE Trans. Computers 72(9): 2717-2725 (2023) - [j209]Dimitrios Danopoulos, Georgios Zervakis, Kostas Siozios, Dimitrios Soudris, Jörg Henkel:
AdaPT: Fast Emulation of Approximate DNN Accelerators in PyTorch. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(6): 2074-2078 (2023) - [j208]Nils Hölscher, Christian Hakert, Hassan Nassar, Kuan-Hsun Chen, Lars Bauer, Jian-Jia Chen, Jörg Henkel:
Memory Carousel: LLVM-Based Bitwise Wear Leveling for Nonvolatile Main Memory. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(8): 2527-2539 (2023) - [j207]Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Ramesh Karri, Farshad Khorrami:
Golden-Free Robust Age Estimation to Triage Recycled ICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(9): 2839-2851 (2023) - [j206]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Model-to-Circuit Cross-Approximation For Printed Machine Learning Classifiers. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(11): 3532-3544 (2023) - [j205]Hassan Nassar, Lars Bauer, Jörg Henkel:
ANV-PUF: Machine-Learning-Resilient NVM-Based Arbiter PUF. ACM Trans. Embed. Comput. Syst. 22(5s): 133:1-133:23 (2023) - [j204]Ourania Spantidi, Georgios Zervakis, Sami Alsalamin, Isai Roman-Ballesteros, Jörg Henkel, Hussam Amrouch, Iraklis Anagnostopoulos:
Targeting DNN Inference Via Efficient Utilization of Heterogeneous Precision DNN Accelerators. IEEE Trans. Emerg. Top. Comput. 11(1): 112-125 (2023) - [j203]Jeferson González-Gómez, Lars Bauer, Jörg Henkel:
Cache-Based Side-Channel Attack Mitigation for Many-Core Distributed Systems via Dynamic Task Migration. IEEE Trans. Inf. Forensics Secur. 18: 2440-2450 (2023) - [j202]Kilian Pfeiffer, Martin Rapp, Ramin Khalili, Jörg Henkel:
CoCoFL: Communication- and Computation-Aware Federated Learning via Partial NN Freezing and Quantization. Trans. Mach. Learn. Res. 2023 (2023) - [c368]Jörg Henkel, Lokesh Siddhu, Lars Bauer, Jürgen Teich, Stefan Wildermann, Mehdi B. Tahoori, Mahta Mayahinia, Jerónimo Castrillón, Asif Ali Khan, Hamid Farzaneh, João Paulo C. de Lima, Jian-Jia Chen, Christian Hakert, Kuan-Hsun Chen, Chia-Lin Yang, Hsiang-Yun Cheng:
Special Session - Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications. CASES 2023: 11-20 - [c367]Jeferson González-Gómez, Mohammed Bakr Sikal, Heba Khdr, Lars Bauer, Jörg Henkel:
Smart Detection of Obfuscated Thermal Covert Channel Attacks in Many-core Processors. DAC 2023: 1-6 - [c366]Hassan Nassar, Simon Pankner, Lars Bauer, Jörg Henkel:
Late Breaking Results: Configurable Ring Oscillators as a Side-Channel Countermeasure. DAC 2023: 1-2 - [c365]Mohammed Bakr Sikal, Heba Khdr, Martin Rapp, Jörg Henkel:
Machine Learning-based Thermally-Safe Cache Contention Mitigation in Clustered Manycores. DAC 2023: 1-6 - [c364]Jeferson González-Gómez, Kevin Cordero-Zuñiga, Lars Bauer, Jörg Henkel:
The First Concept and Real-world Deployment of a GPU-based Thermal Covert Channel: Attack and Countermeasures. DATE 2023: 1-6 - [c363]Argyris Kokkinis, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel:
Hardware-Aware Automated Neural Minimization for Printed Multilayer Perceptrons. DATE 2023: 1-2 - [c362]Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel, Ulf Schlichtmann:
Extended Abstract: Monitoring-based Thermal Management for Mixed-Criticality Systems. DATE 2023: 1-2 - [c361]Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel, Ulf Schlichtmann:
MonTM: Monitoring-Based Thermal Management for Mixed-Criticality Systems. PARMA-DITAM 2023: 5:1-5:12 - [c360]Hassan Nassar, Rafik Youssef, Lars Bauer, Jörg Henkel:
Supporting Dynamic Control-Flow Execution for Runtime Reconfigurable Processors. ICM 2023: 184-189 - [c359]Kilian Pfeiffer, Ramin Khalili, Jörg Henkel:
Aggregating Capacity in FL through Successive Layer Training for Computationally-Constrained Devices. NeurIPS 2023 - [c358]Mohsen Ansari, Sepideh Safari, Amir Yeganeh-Khaksar, Roozbeh Siyadatzadeh, Pourya Gohari-Nazari, Heba Khdr, Muhammad Shafique, Jörg Henkel, Alireza Ejlali:
ATLAS: Aging-Aware Task Replication for Multicore Safety-Critical Systems. RTAS 2023: 223-234 - [i26]Argyris Kokkinis, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel:
Hardware-Aware Automated Neural Minimization for Printed Multilayer Perceptrons. CoRR abs/2301.11142 (2023) - [i25]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed Circuits. CoRR abs/2302.14576 (2023) - [i24]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Model-to-Circuit Cross-Approximation For Printed Machine Learning Classifiers. CoRR abs/2303.08255 (2023) - [i23]Kilian Pfeiffer, Ramin Khalili, Jörg Henkel:
Aggregating Capacity in FL through Successive Layer Training for Computationally-Constrained Devices. CoRR abs/2305.17005 (2023) - [i22]Kilian Pfeiffer, Martin Rapp, Ramin Khalili, Jörg Henkel:
Federated Learning for Computationally-Constrained Heterogeneous Devices: A Survey. CoRR abs/2307.09182 (2023) - [i21]Konstantinos Balaskas, Andreas Karatzas, Christos Sad, Kostas Siozios, Iraklis Anagnostopoulos, Georgios Zervakis, Jörg Henkel:
Hardware-Aware DNN Compression via Diverse Pruning and Mixed-Precision Quantization. CoRR abs/2312.15322 (2023) - 2022
- [j201]Sepideh Safari, Mohsen Ansari, Heba Khdr, Pourya Gohari-Nazari, Sina Yari-Karin, Amir Yeganeh-Khaksar, Shaahin Hessabi, Alireza Ejlali, Jörg Henkel:
A Survey of Fault-Tolerance Techniques for Embedded Systems From the Perspective of Power, Energy, and Thermal Issues. IEEE Access 10: 12229-12251 (2022) - [j200]Jörg Henkel:
Designing Autonomous Systems. IEEE Des. Test 39(1): 4 (2022) - [j199]Harry Foster, Rob Oshana, Jörg Henkel, Vivek De:
Report on the Design Automation Conference (DAC 2021). IEEE Des. Test 39(1): 97-99 (2022) - [j198]Ali Asghar Mohammad Salehi, Bardia Safaei, Amir Mahdi Hosseini Monazzah, Lars Bauer, Jörg Henkel, Alireza Ejlali:
ARMOR: A Reliable and Mobility-Aware RPL for Mobile Internet of Things Infrastructures. IEEE Internet Things J. 9(2): 1503-1516 (2022) - [j197]Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel, Ulf Schlichtmann:
An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-core Processors. ACM Trans. Archit. Code Optim. 19(3): 31:1-31:24 (2022) - [j196]Lokesh Siddhu, Rajesh Kedia, Shailja Pandey, Martin Rapp, Anuj Pathania, Jörg Henkel, Preeti Ranjan Panda:
CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory Systems. ACM Trans. Archit. Code Optim. 19(3): 44:1-44:25 (2022) - [j195]Guilherme Paim, Hussam Amrouch, Leandro M. G. Rocha, Brunno Abreu, Eduardo Antônio César da Costa, Sergio Bampi, Jörg Henkel:
A Framework for Crossing Temperature-Induced Timing Errors Underlying Hardware Accelerators to the Algorithm and Application Layers. IEEE Trans. Computers 71(2): 349-363 (2022) - [j194]Sami Salamin, Georgios Zervakis, Florian Klemme, Hammam Kattan, Yogesh Singh Chauhan, Jörg Henkel, Hussam Amrouch:
Impact of NCFET Technology on Eliminating the Cooling Cost and Boosting the Efficiency of Google TPU. IEEE Trans. Computers 71(4): 906-918 (2022) - [j193]Paul R. Genssler, Victor M. van Santen, Jörg Henkel, Hussam Amrouch:
On the Reliability of FeFET On-Chip Memory. IEEE Trans. Computers 71(4): 947-958 (2022) - [j192]Mikail Yayla, Sebastian Buschjäger, Aniket Gupta, Jian-Jia Chen, Jörg Henkel, Katharina Morik, Kuan-Hsun Chen, Hussam Amrouch:
FeFET-Based Binarized Neural Networks Under Temperature-Dependent Bit Errors. IEEE Trans. Computers 71(7): 1681-1695 (2022) - [j191]Georgios Zervakis, Iraklis Anagnostopoulos, Sami Salamin, Ourania Spantidi, Isai Roman-Ballesteros, Jörg Henkel, Hussam Amrouch:
Thermal-Aware Design for Approximate DNN Accelerators. IEEE Trans. Computers 71(10): 2687-2697 (2022) - [j190]Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Ramesh Karri, Farshad Khorrami:
Trojan Detection in Embedded Systems With FinFET Technology. IEEE Trans. Computers 71(11): 3061-3071 (2022) - [j189]Martin Rapp, Hussam Amrouch, Yibo Lin, Bei Yu, David Z. Pan, Marilyn Wolf, Jörg Henkel:
MLCAD: A Survey of Research in Machine Learning for CAD Keynote Paper. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10): 3162-3181 (2022) - [j188]Ourania Spantidi, Georgios Zervakis, Iraklis Anagnostopoulos, Jörg Henkel:
Energy-Efficient DNN Inference on Approximate Accelerators Through Formal Property Exploration. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(11): 3838-3849 (2022) - [j187]Hassan Nassar, Lars Bauer, Jörg Henkel:
CaPUF: Cascaded PUF Structure for Machine Learning Resiliency. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(11): 4349-4360 (2022) - [j186]Konstantinos Balaskas, Florian Klemme, Georgios Zervakis, Kostas Siozios, Hussam Amrouch, Jörg Henkel:
Variability-Aware Approximate Circuit Synthesis via Genetic Optimization. IEEE Trans. Circuits Syst. I Regul. Pap. 69(10): 4141-4153 (2022) - [j185]Tanfer Alan, Jörg Henkel:
Probability-Driven Evaluation of Lower-Part Approximation Adders. IEEE Trans. Circuits Syst. II Express Briefs 69(1): 204-208 (2022) - [j184]Guilherme Paim, Hussam Amrouch, Eduardo Antônio César da Costa, Sergio Bampi, Jörg Henkel:
Bridging the Gap Between Voltage Over-Scaling and Joint Hardware Accelerator-Algorithm Closed-Loop. IEEE Trans. Circuits Syst. Video Technol. 32(1): 398-410 (2022) - [j183]Naman Patel, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Michael Shamouilian, Ramesh Karri, Farshad Khorrami:
Towards a New Thermal Monitoring Based Framework for Embedded CPS Device Security. IEEE Trans. Dependable Secur. Comput. 19(1): 524-536 (2022) - [j182]Christian Hakert, Kuan-Hsun Chen, Horst Schirmeier, Lars Bauer, Paul R. Genssler, Georg von der Brüggen, Hussam Amrouch, Jörg Henkel, Jian-Jia Chen:
Software-Managed Read and Write Wear-Leveling for Non-Volatile Main Memory. ACM Trans. Embed. Comput. Syst. 21(1): 5:1-5:24 (2022) - [j181]Mohsen Ansari, Sepideh Safari, Sina Yari-Karin, Pourya Gohari-Nazari, Heba Khdr, Muhammad Shafique, Jörg Henkel, Alireza Ejlali:
Thermal-Aware Standby-Sparing Technique on Heterogeneous Real-Time Embedded Systems. IEEE Trans. Emerg. Top. Comput. 10(4): 1883-1897 (2022) - [j180]Nafis Irtija, Iraklis Anagnostopoulos, Georgios Zervakis, Eirini-Eleni Tsiropoulou, Hussam Amrouch, Jörg Henkel:
Energy Efficient Edge Computing Enabled by Satisfaction Games and Approximate Computing. IEEE Trans. Green Commun. Netw. 6(1): 281-294 (2022) - [j179]Bardia Safaei, Hossein Taghizade, Amir Mahdi Hosseini Monazzah, Kimia Talaei Khoosani, Parham Sadeghi, Ali Asghar Mohammadsalehi, Jörg Henkel, Alireza Ejlali:
Introduction and Evaluation of Attachability for Mobile IoT Routing Protocols With Markov Chain Analysis. IEEE Trans. Netw. Serv. Manag. 19(3): 3220-3238 (2022) - [j178]Sepideh Safari, Heba Khdr, Pourya Gohari-Nazari, Mohsen Ansari, Shaahin Hessabi, Jörg Henkel:
TherMa-MiCs: Thermal-Aware Scheduling for Fault-Tolerant Mixed-Criticality Systems. IEEE Trans. Parallel Distributed Syst. 33(7): 1678-1694 (2022) - [j177]Mohsen Ansari, Sepideh Safari, Heba Khdr, Pourya Gohari-Nazari, Jörg Henkel, Alireza Ejlali, Shaahin Hessabi:
Power-Aware Checkpointing for Multicore Embedded Systems. IEEE Trans. Parallel Distributed Syst. 33(10): 4410-4424 (2022) - [j176]Divya Praneetha Ravipati, Rajesh Kedia, Victor M. van Santen, Jörg Henkel, Preeti Ranjan Panda, Hussam Amrouch:
FN-CACTI: Advanced CACTI for FinFET and NC-FinFET Technologies. IEEE Trans. Very Large Scale Integr. Syst. 30(3): 339-352 (2022) - [c357]Martin Rapp, Ramin Khalili, Kilian Pfeiffer, Jörg Henkel:
DISTREAL: Distributed Resource-Aware Learning in Heterogeneous Systems. AAAI 2022: 8062-8071 - [c356]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Cross-Layer Approximation For Printed Machine Learning Circuits. DATE 2022: 190-195 - [c355]Martin Rapp, Nikita Krohmer, Heba Khdr, Jörg Henkel:
NPU-Accelerated Imitation Learning for Thermal- and QoS-Aware Optimization of Heterogeneous Multi-Cores. DATE 2022: 584-587 - [c354]Mohammed Bakr Sikal, Heba Khdr, Martin Rapp, Jörg Henkel:
Thermal- and Cache-Aware Resource Management based on ML- Driven Cache Contention Prediction. DATE 2022: 1384-1388 - [c353]Jörg Henkel, Hai Li, Anand Raghunathan, Mehdi B. Tahoori, Swagath Venkataramani, Xiaoxuan Yang, Georgios Zervakis:
Approximate Computing and the Efficient Machine Learning Expedition. ICCAD 2022: 80:1-80:9 - [c352]Konstantinos Balaskas, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel:
Approximate Decision Trees For Machine Learning Classification on Tiny Printed Circuits. ISQED 2022: 1-6 - [p7]Georgios Zervakis, Iraklis Anagnostopoulos, Hussam Amrouch, Jörg Henkel:
Enabling Efficient Inference of Convolutional Neural Networks via Approximation. Approximate Computing 2022: 429-450 - [i20]Dimitrios Danopoulos, Georgios Zervakis, Kostas Siozios, Dimitrios Soudris, Jörg Henkel:
AdaPT: Fast Emulation of Approximate DNN Accelerators in PyTorch. CoRR abs/2203.04071 (2022) - [i19]Kilian Pfeiffer, Martin Rapp, Ramin Khalili, Jörg Henkel:
CoCo-FL: Communication- and Computation-Aware Federated Learning via Partial NN Freezing and Quantization. CoRR abs/2203.05468 (2022) - [i18]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Mehdi B. Tahoori, Jörg Henkel:
Cross-Layer Approximation For Printed Machine Learning Circuits. CoRR abs/2203.05915 (2022) - [i17]Konstantinos Balaskas, Georgios Zervakis, Hussam Amrouch, Jörg Henkel, Kostas Siozios:
Automated Design Approximation to Overcome Circuit Aging. CoRR abs/2203.07962 (2022) - [i16]Konstantinos Balaskas, Georgios Zervakis, Kostas Siozios, Mehdi B. Tahoori, Jörg Henkel:
Approximate Decision Trees For Machine Learning Classification on Tiny Printed Circuits. CoRR abs/2203.08011 (2022) - [i15]Giorgos Armeniakos, Georgios Zervakis, Dimitrios Soudris, Jörg Henkel:
Hardware Approximate Techniques for Deep Neural Network Accelerators: A Survey. CoRR abs/2203.08737 (2022) - [i14]Volker Wenzel, Lars Bauer, Wolfgang Schröder-Preikschat, Jörg Henkel:
Agent-based Constraint Solving for Resource Allocation in Manycore Systems. CoRR abs/2204.06603 (2022) - [i13]Martin Rapp, Heba Khdr, Nikita Krohmer, Jörg Henkel:
NPU-Accelerated Imitation Learning for Thermal Optimization of QoS-Constrained Heterogeneous Multi-Cores. CoRR abs/2206.05459 (2022) - [i12]Ourania Spantidi, Georgios Zervakis, Iraklis Anagnostopoulos, Jörg Henkel:
Energy-efficient DNN Inference on Approximate Accelerators Through Formal Property Exploration. CoRR abs/2207.12350 (2022) - [i11]Jörg Henkel, Hai Li, Anand Raghunathan, Mehdi B. Tahoori, Swagath Venkataramani, Xiaoxuan Yang, Georgios Zervakis:
Approximate Computing and the Efficient Machine Learning Expedition. CoRR abs/2210.00497 (2022) - 2021
- [j175]Sami Salamin, Victor M. van Santen, Martin Rapp, Jörg Henkel, Hussam Amrouch:
Minimizing Excess Timing Guard Banding Under Transistor Self-Heating Through Biasing at Zero-Temperature Coefficient. IEEE Access 9: 30687-30697 (2021) - [j174]Georgios Zervakis, Iraklis Anagnostopoulos, Sami Salamin, Yogesh Singh Chauhan, Jörg Henkel, Hussam Amrouch:
Impact of NCFET on Neural Network Accelerators. IEEE Access 9: 43748-43758 (2021) - [j173]Deepak M. Mathew, Hammam Kattan, Christian Weis, Jörg Henkel, Norbert Wehn, Hussam Amrouch:
Longevity of Commodity DRAMs in Harsh Environments Through Thermoelectric Cooling. IEEE Access 9: 83950-83962 (2021) - [j172]Jörg Henkel:
From-the-EiC_38_1. IEEE Des. Test 38(1): 4 (2021) - [j171]Jörg Henkel:
Open-Source Electronic Design Automation (EDA) Tools. IEEE Des. Test 38(2): 4 (2021) - [j170]Marilyn Wolf, Jörg Henkel, Raviv Gal, Ulf Schlichtmann:
Report on First and Second ACM/IEEE Workshop on Machine Learning for CAD (MLCAD). IEEE Des. Test 38(2): 97-99 (2021) - [j169]Jörg Henkel:
Top Picks in Hardware and Embedded Security. IEEE Des. Test 38(3): 4 (2021) - [j168]Jörg Henkel:
Machine Intelligence at the Edge. IEEE Des. Test 38(4): 4 (2021) - [j167]Jörg Henkel:
Cross-Layer Design of Cyber-Physical Systems. IEEE Des. Test 38(5): 4 (2021) - [j166]Jörg Henkel:
Stochastic Computing for Neuromorphic Applications. IEEE Des. Test 38(6): 4 (2021) - [j165]Hammam Kattan, Sung Woo Chung, Jörg Henkel, Hussam Amrouch:
On-Demand Mobile CPU Cooling With Thin-Film Thermoelectric Array. IEEE Micro 41(4): 67-73 (2021) - [j164]Sami Salamin, Martin Rapp, Anuj Pathania, Arka Maity, Jörg Henkel, Tulika Mitra, Hussam Amrouch:
Power-Efficient Heterogeneous Many-Core Design With NCFET Technology. IEEE Trans. Computers 70(9): 1484-1497 (2021) - [j163]Martin Rapp, Anuj Pathania, Tulika Mitra, Jörg Henkel:
Neural Network-Based Performance Prediction for Task Migration on S-NUCA Many-Cores. IEEE Trans. Computers 70(10): 1691-1704 (2021) - [j162]Sheriff Sadiqbatcha, Jinwei Zhang, Hengyang Zhao, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan:
Post-Silicon Heat-Source Identification and Machine-Learning-Based Thermal Modeling Using Infrared Thermal Imaging. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(4): 694-707 (2021) - [j161]Guilherme Paim, Georgios Zervakis, Girish Pahwa, Yogesh Singh Chauhan, Eduardo Antonio Cesar da Costa, Sergio Bampi, Jörg Henkel, Hussam Amrouch:
On the Resiliency of NCFET Circuits Against Voltage Over-Scaling. IEEE Trans. Circuits Syst. I Regul. Pap. 68(4): 1481-1492 (2021) - [j160]Sami Salamin, Georgios Zervakis, Yogesh Singh Chauhan, Jörg Henkel, Hussam Amrouch:
PROTON: Post-Synthesis Ferroelectric Thickness Optimization for NCFET Circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 68(10): 4299-4309 (2021) - [j159]Konstantinos Balaskas, Georgios Zervakis, Hussam Amrouch, Jörg Henkel, Kostas Siozios:
Automated Design Approximation to Overcome Circuit Aging. IEEE Trans. Circuits Syst. I Regul. Pap. 68(11): 4710-4721 (2021) - [j158]Jorge Castro-Godínez, Humberto Barrantes-García, Muhammad Shafique, Jörg Henkel:
AxLS: A Framework for Approximate Logic Synthesis Based on Netlist Transformations. IEEE Trans. Circuits Syst. II Express Briefs 68(8): 2845-2849 (2021) - [j157]Nadir Khan, Jorge Castro-Godínez, Shixiang Xue, Jörg Henkel, Jürgen Becker:
Automatic Floorplanning and Standalone Generation of Bitstream-Level IP Cores. IEEE Trans. Very Large Scale Integr. Syst. 29(1): 38-50 (2021) - [j156]Tanfer Alan, Andreas Gerstlauer, Jörg Henkel:
Cross-Layer Approximate Hardware Synthesis for Runtime Configurable Accuracy. IEEE Trans. Very Large Scale Integr. Syst. 29(6): 1231-1243 (2021) - [c351]Georgios Zervakis, Hassaan Saadat, Hussam Amrouch, Andreas Gerstlauer, Sri Parameswaran, Jörg Henkel:
Approximate Computing for ML: State-of-the-art, Challenges and Visions. ASP-DAC 2021: 189-196 - [c350]Hussam Amrouch, Martin Rapp, Sami Salamin, Jörg Henkel:
Impact of Negative Capacitance Field-Effect Transistor (NCFET) on Many-Core Systems. A Journey of Embedded and Cyber-Physical Systems 2021: 107-123 - [c349]Tanfer Alan, Jorge Castro-Godínez, Jörg Henkel:
Multiple approximate instances in neural processing units for energy-efficient circuit synthesis: work-in-progress. CASES 2021: 3-5 - [c348]Martin Rapp, Mohammed Bakr Sikal, Heba Khdr, Jörg Henkel:
SmartBoost: Lightweight ML-Driven Boosting for Thermally-Constrained Many-Core Processors. DAC 2021: 265-270 - [c347]Georgios Zervakis, Ourania Spantidi, Iraklis Anagnostopoulos, Hussam Amrouch, Jörg Henkel:
Control Variate Approximation for DNN Accelerators. DAC 2021: 481-486 - [c346]Mikail Yayla, Kuan-Hsun Chen, Georgios Zervakis, Jörg Henkel, Jian-Jia Chen, Hussam Amrouch:
FeFET and NCFET for Future Neural Networks: Visions and Opportunities. DATE 2021: 300-305 - [c345]Sami Salamin, Georgios Zervakis, Ourania Spantidi, Iraklis Anagnostopoulos, Jörg Henkel, Hussam Amrouch:
Reliability-Aware Quantization for Anti-Aging NPUs. DATE 2021: 1460-1465 - [c344]Mark Sagi, Martin Rapp, Heba Khdr, Yizhe Zhang, Nael Fasfous, Nguyen Anh Vu Doan, Thomas Wild, Jörg Henkel, Andreas Herkersdorf:
Long Short-Term Memory Neural Network-based Power Forecasting of Multi-Core Processors. DATE 2021: 1685-1690 - [c343]Hassan Nassar, Lars Bauer, Jörg Henkel:
TiVaPRoMi: Time-Varying Probabilistic Row-Hammer Mitigation. DATE 2021: 1711-1716 - [c342]Hassan Nassar, Hanna AlZughbi, Dennis R. E. Gnad, Lars Bauer, Mehdi B. Tahoori, Jörg Henkel:
LoopBreaker: Disabling Interconnects to Mitigate Voltage-Based Attacks in Multi-Tenant FPGAs. ICCAD 2021: 1-9 - [c341]Ourania Spantidi, Georgios Zervakis, Iraklis Anagnostopoulos, Hussam Amrouch, Jörg Henkel:
Positive/Negative Approximate Multipliers for DNN Accelerators. ICCAD 2021: 1-9 - [c340]Jeferson González-Gómez, Steven Ávila-Ardón, Jonathan Rojas-González, Andres Stephen-Cantillano, Jorge Castro-Godínez, Carlos Salazar-García, Muhammad Shafique, Jörg Henkel:
TailoredCore: Generating Application-Specific RISC-V-based Cores. LASCAS 2021: 1-4 - [c339]Veera Venkata Ram Murali Krishna Rao Muvva, Martin Rapp, Jörg Henkel, Hussam Amrouch, Marilyn Wolf:
On the Effectiveness of Quantization and Pruning on the Performance of FPGAs-based NN Temperature Estimation. MLCAD 2021: 1-7 - [c338]Maryam Shirbeigi, Bardia Safaei, Ali Asghar Mohammad Salehi, Amir Mahdi Hosseini Monazzah, Jörg Henkel, Alireza Ejlali:
A Cluster-Based and Drop-aware Extension of RPL to Provide Reliability in IoT Applications. SysCon 2021: 1-7 - [c337]Victor M. van Santen, Simon Thomann, Yogesh S. Chauchan, Jörg Henkel, Hussam Amrouch:
Reliability-Driven Voltage Optimization for NCFET-based SRAM Memory Banks. VTS 2021: 1-7 - [e9]Jörg Henkel, Xu Liu:
LCTES '21: 22nd ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems, Virtual Event, Canada, 22 June, 2021. ACM 2021, ISBN 978-1-4503-8472-8 [contents] - [i10]Georgios Zervakis, Ourania Spantidi, Iraklis Anagnostopoulos, Hussam Amrouch, Jörg Henkel:
Control Variate Approximation for DNN Accelerators. CoRR abs/2102.09642 (2021) - [i9]Sami Salamin, Georgios Zervakis, Ourania Spantidi, Iraklis Anagnostopoulos, Jörg Henkel, Hussam Amrouch:
Reliability-Aware Quantization for Anti-Aging NPUs. CoRR abs/2103.04812 (2021) - [i8]Ourania Spantidi, Georgios Zervakis, Iraklis Anagnostopoulos, Hussam Amrouch, Jörg Henkel:
Positive/Negative Approximate Multipliers for DNN Accelerators. CoRR abs/2107.09366 (2021) - [i7]Lokesh Siddhu, Rajesh Kedia, Shailja Pandey, Martin Rapp, Anuj Pathania, Jörg Henkel, Preeti Ranjan Panda:
CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory Systems. CoRR abs/2109.12405 (2021) - [i6]Martin Rapp, Ramin Khalili, Kilian Pfeiffer, Jörg Henkel:
DISTREAL: Distributed Resource-Aware Learning in Heterogeneous Systems. CoRR abs/2112.08761 (2021) - 2020
- [j155]Georgios Zervakis, Hussam Amrouch, Jörg Henkel:
Design Automation of Approximate Circuits With Runtime Reconfigurable Accuracy. IEEE Access 8: 53522-53538 (2020) - [j154]Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Kanad Basu, Jörg Henkel, Ramesh Karri, Farshad Khorrami:
Hardware Trojan Detection Using Controlled Circuit Aging. IEEE Access 8: 77415-77434 (2020) - [j153]Bardia Safaei, Ali Asghar Mohammadsalehi, Kimia Talaei Khoosani, Saba Zarbaf, Amir Mahdi Hosseini Monazzah, Farzad Samie, Lars Bauer, Jörg Henkel, Alireza Ejlali:
Impacts of Mobility Models on RPL-Based Mobile IoT Infrastructures: An Evaluative Comparison and Survey. IEEE Access 8: 167779-167829 (2020) - [j152]Jörg Henkel:
From the EIC: Embedded Intelligence in the Internet-of-Things. IEEE Des. Test 37(1): 4 (2020) - [j151]Jörg Henkel:
From the EIC: Robust Machine Learning. IEEE Des. Test 37(2): 4 (2020) - [j150]Jörg Henkel:
From the EIC: Special Issue on Image Processing, Correspondsing Hardware Architectures, and EDA Tools. IEEE Des. Test 37(3): 4 (2020) - [j149]Jörg Henkel:
From the EIC: Special Issue on VTS. IEEE Des. Test 37(4): 4 (2020) - [j148]Jörg Henkel:
From the EIC: From Smartphones to Wearable Devices. IEEE Des. Test 37(5): 4 (2020) - [j147]Jörg Henkel:
From the EIC: Education for Cyber-Physical Systems. IEEE Des. Test 37(6): 4 (2020) - [j146]Farzad Samie, Lars Bauer, Jörg Henkel:
Hierarchical Classification for Constrained IoT Devices: A Case Study on Human Activity Recognition. IEEE Internet Things J. 7(9): 8287-8295 (2020) - [j145]Tatsuji Munaka, Farzad Samie, Lars Bauer, Jörg Henkel:
Improved Feature Extraction Method for Sound Recognition Applied to Automatic Sorting of Recycling Wastes. J. Inf. Process. 28: 658-665 (2020) - [j144]Johann Knechtel, Satwik Patnaik, Mohammed Nabeel, Mohammed Ashraf, Yogesh Singh Chauhan, Jörg Henkel, Ozgur Sinanoglu, Hussam Amrouch:
Power Side-Channel Attacks in Negative Capacitance Transistor. IEEE Micro 40(6): 74-84 (2020) - [j143]Martin Rapp, Mark Sagi, Anuj Pathania, Andreas Herkersdorf, Jörg Henkel:
Power- and Cache-Aware Task Mapping with Dynamic Power Budgeting for Many-Cores. IEEE Trans. Computers 69(1): 1-13 (2020) - [j142]Santiago Pagani, Sai Manoj P. D., Axel Jantsch, Jörg Henkel:
Machine Learning for Power, Energy, and Thermal Management on Multicore Processors: A Survey. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(1): 101-116 (2020) - [j141]Farzad Samie, Vasileios Tsoutsouras, Dimosthenis Masouros, Lars Bauer, Dimitrios Soudris, Jörg Henkel:
Fast Operation Mode Selection for Highly Efficient IoT Edge Devices. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(3): 572-584 (2020) - [j140]Syed Ali Asadullah Bukhari, Faiq Khalid, Osman Hasan, Muhammad Shafique, Jörg Henkel:
Toward Model Checking-Driven Fair Comparison of Dynamic Thermal Management Techniques Under Multithreaded Workloads. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(8): 1725-1738 (2020) - [j139]Mark Sagi, Nguyen Anh Vu Doan, Martin Rapp, Thomas Wild, Jörg Henkel, Andreas Herkersdorf:
A Lightweight Nonlinear Methodology to Accurately Model Multicore Processor Power. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(11): 3152-3164 (2020) - [j138]Sami Salamin, Martin Rapp, Jörg Henkel, Andreas Gerstlauer, Hussam Amrouch:
Dynamic Power and Energy Management for NCFET-Based Processors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(11): 3361-3372 (2020) - [j137]Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Ramesh Karri, Farshad Khorrami:
Exposing Hardware Trojans in Embedded Platforms via Short-Term Aging. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(11): 3519-3530 (2020) - [j136]Hussam Amrouch, Georgios Zervakis, Sami Salamin, Hammam Kattan, Iraklis Anagnostopoulos, Jörg Henkel:
NPU Thermal Management. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(11): 3842-3855 (2020) - [j135]Heesu Kim, Jongho Kim, Hussam Amrouch, Jörg Henkel, Andreas Gerstlauer, Kiyoung Choi, Hanmin Park:
Aging Compensation With Dynamic Computation Approximation. IEEE Trans. Circuits Syst. I Fundam. Theory Appl. 67-I(4): 1319-1332 (2020) - [j134]Victor M. van Santen, Hussam Amrouch, Pooja Kumari, Jörg Henkel:
On the Workload Dependence of Self-Heating in FinFET Circuits. IEEE Trans. Circuits Syst. II Express Briefs 67-II(10): 1949-1953 (2020) - [j133]Zois-Gerasimos Tasoulas, Georgios Zervakis, Iraklis Anagnostopoulos, Hussam Amrouch, Jörg Henkel:
Weight-Oriented Approximation for Energy-Efficient Neural Network Inference Accelerators. IEEE Trans. Circuits Syst. 67-I(12): 4670-4683 (2020) - [j132]Guilherme Paim, Leandro Mateus Giacomini Rocha, Hussam Amrouch, Eduardo Antônio César da Costa, Sergio Bampi, Jörg Henkel:
A Cross-Layer Gate-Level-to-Application Co-Simulation for Design Space Exploration of Approximate Circuits in HEVC Video Encoders. IEEE Trans. Circuits Syst. Video Technol. 30(10): 3814-3828 (2020) - [j131]Jörg Henkel, Hussam Amrouch, Marilyn Wolf:
Introduction to the Special Issue on Machine Learning for CAD. ACM Trans. Design Autom. Electr. Syst. 25(5): 36:1-36:2 (2020) - [j130]Heba Khdr, Muhammad Shafique, Santiago Pagani, Andreas Herkersdorf, Jörg Henkel:
Combinatorial Auctions for Temperature-Constrained Resource Management in Manycores. IEEE Trans. Parallel Distributed Syst. 31(7): 1605-1620 (2020) - [c336]Victor M. van Santen, Paul R. Genssler, Om Prakash, Simon Thomann, Jörg Henkel, Hussam Amrouch:
Impact of Self-Heating on Performance, Power and Reliability in FinFET Technology. ASP-DAC 2020: 68-73 - [c335]Sheriff Sadiqbatcha, Yue Zhao, Jinwei Zhang, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan:
Machine Learning Based Online Full-Chip Heatmap Estimation. ASP-DAC 2020: 229-234 - [c334]Hussam Amrouch, Victor M. van Santen, Girish Pahwa, Yogesh Singh Chauhan, Jörg Henkel:
NCFET to Rescue Technology Scaling: Opportunities and Challenges. ASP-DAC 2020: 637-644 - [c333]Shuyuan Yu, Han Zhou, Shaoyi Peng, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan:
Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management: Work-in-Progress. CASES 2020: 1-3 - [c332]Jorge Castro-Godínez, Muhammad Shafique, Jörg Henkel:
Towards Quality-Driven Approximate Software Generation for Accurate Hardware: Work-in-Progress. CASES 2020: 12-14 - [c331]Sami Salamin, Martin Rapp, Hussam Amrouch, Andreas Gerstlauer, Jörg Henkel:
Energy Optimization in NCFET-based Processors. DATE 2020: 630-633 - [c330]Om Prakash, Hussam Amrouch, Sanjeev Manhas, Jörg Henkel:
Impact of NBTI Aging on Self-Heating in Nanowire FET. DATE 2020: 1514-1519 - [c329]Tanfer Alan, Andreas Gerstlauer, Jörg Henkel:
Runtime Accuracy-Configurable Approximate Hardware Synthesis Using Logic Gating and Relaxation. DATE 2020: 1578-1581 - [c328]Florian Klemme, Jannik Prinz, Victor M. van Santen, Jörg Henkel, Hussam Amrouch:
Modeling Emerging Technologies using Machine Learning: Challenges and Opportunities. ICCAD 2020: 15:1-15:9 - [c327]Jorge Castro-Godínez, Julián Mateus-Vargas, Muhammad Shafique, Jörg Henkel:
AxHLS: Design Space Exploration and High-Level Synthesis of Approximate Accelerators using Approximate Functional Units and Analytical Models. ICCAD 2020: 117:1-117:9 - [c326]Florian Klemme, Yogesh Singh Chauhan, Jörg Henkel, Hussam Amrouch:
Cell Library Characterization using Machine Learning for Design Technology Co-Optimization. ICCAD 2020: 162:1-162:9 - [c325]Florian Schmaus, Sebastian Maier, Tobias Langer, Jonas Rabenstein, Timo Hönig, Wolfgang Schröder-Preikschat, Lars Bauer, Jörg Henkel:
System Software for Resource Arbitration on Future Many-* Architectures. IPDPS Workshops 2020: 967-975 - [c324]Govind Bajpai, Aniket Gupta, Om Prakash, Girish Pahwa, Jörg Henkel, Yogesh Singh Chauhan, Hussam Amrouch:
Impact of Radiation on Negative Capacitance FinFET. IRPS 2020: 1-5 - [c323]Victor M. van Santen, Simon Thomann, Chaitanya Pasupuleti, Paul R. Genssler, Narendra Gangwar, Uma Sharma, Jörg Henkel, Souvik Mahapatra, Hussam Amrouch:
BTI and HCD Degradation in a Complete 32 × 64 bit SRAM Array - including Sense Amplifiers and Write Drivers - under Processor Activity. IRPS 2020: 1-7 - [c322]Moeez Akmal, Muhammad Sarmad Saeed, Muhammad Usama Sardar, Hareem Shafi, Osman Hasan, Heba Khdr, Jörg Henkel:
Comparative Framework for the Analysis of Thermal and Resource Management Algorithms for Multi-Core Architectures. ISQED 2020: 421-425 - [c321]Jorge Castro-Godínez, Deykel Hernández-Araya, Muhammad Shafique, Jörg Henkel:
Approximate Acceleration for CNN-based Applications on IoT Edge Devices. LASCAS 2020: 1-4 - [c320]Deykel Hernández-Araya, Jorge Castro-Godínez, Muhammad Shafique, Jörg Henkel:
AUGER: A Tool for Generating Approximate Arithmetic Circuits. LASCAS 2020: 1-4 - [c319]Martin Rapp, Omar Elfatairy, Marilyn Wolf, Jörg Henkel, Hussam Amrouch:
Towards NN-based Online Estimation of the Full-Chip Temperature and the Rate of Temperature Change. MLCAD 2020: 95-100 - [i5]Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Kanad Basu, Jörg Henkel, Ramesh Karri, Farshad Khorrami:
Hardware Trojan Detection Using Controlled Circuit Aging. CoRR abs/2004.02997 (2020) - [i4]Christian Hakert, Kuan-Hsun Chen, Paul R. Genssler, Georg von der Brüggen, Lars Bauer, Hussam Amrouch, Jian-Jia Chen, Jörg Henkel:
SoftWear: Software-Only In-Memory Wear-Leveling for Non-Volatile Main Memory. CoRR abs/2004.03244 (2020) - [i3]Shuyuan Yu, Han Zhou, Shaoyi Peng, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan:
Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management. CoRR abs/2004.13320 (2020) - [i2]Martin Rapp, Ramin Khalili, Jörg Henkel:
Distributed Learning on Heterogeneous Resource-Constrained Devices. CoRR abs/2006.05403 (2020) - [i1]Johann Knechtel, Satwik Patnaik, Mohammed Nabeel, Mohammed Ashraf, Yogesh Singh Chauhan, Jörg Henkel, Ozgur Sinanoglu, Hussam Amrouch:
Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET). CoRR abs/2007.03987 (2020)
2010 – 2019
- 2019
- [j129]Jörg Henkel:
From the EIC: Special Section on Test. IEEE Des. Test 36(1): 4 (2019) - [j128]Jörg Henkel:
From the EIC: Intelligent Resource-Constrained Sensor Nodes. IEEE Des. Test 36(2): 4 (2019) - [j127]Jörg Henkel:
From the EIC: Architecture Advances Enabled by Emerging Technologies. IEEE Des. Test 36(3): 4 (2019) - [j126]Jörg Henkel:
From the EIC: Circuits and Systems for VLSI IoT Devices. IEEE Des. Test 36(4): 4 (2019) - [j125]Jörg Henkel:
From the EIC: Smart and Autonomous Systems. IEEE Des. Test 36(5): 4 (2019) - [j124]Jörg Henkel:
From the EIC: Secure Automotive Systems. IEEE Des. Test 36(6): 4 (2019) - [j123]Anuj Pathania, Jörg Henkel:
HotSniper: Sniper-Based Toolchain for Many-Core Thermal Simulations in Open Systems. IEEE Embed. Syst. Lett. 11(2): 54-57 (2019) - [j122]Farzad Samie, Lars Bauer, Jörg Henkel:
From Cloud Down to Things: An Overview of Machine Learning in Internet of Things. IEEE Internet Things J. 6(3): 4921-4934 (2019) - [j121]Sai Manoj Pudukotai Dinakarrao, Arun Joseph, Anand Haridass, Muhammad Shafique, Jörg Henkel, Houman Homayoun:
Application and Thermal-reliability-aware Reinforcement Learning Based Multi-core Power Management. ACM J. Emerg. Technol. Comput. Syst. 15(4): 33:1-33:19 (2019) - [j120]Heba Khdr, Hussam Amrouch, Jörg Henkel:
Dynamic Guardband Selection: Thermal-Aware Optimization for Unreliable Multi-Core Systems. IEEE Trans. Computers 68(1): 53-66 (2019) - [j119]Hussam Amrouch, Seyed Borna Ehsani, Andreas Gerstlauer, Jörg Henkel:
On the Efficiency of Voltage Overscaling under Temperature and Aging Effects. IEEE Trans. Computers 68(11): 1647-1662 (2019) - [j118]Victor M. van Santen, Hussam Amrouch, Jörg Henkel:
Modeling and Mitigating Time-Dependent Variability From the Physical Level to the Circuit Level. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(7): 2671-2684 (2019) - [j117]Victor M. van Santen, Hussam Amrouch, Jörg Henkel:
Modeling and Evaluating the Gate Length Dependence of BTI. IEEE Trans. Circuits Syst. II Express Briefs 66-II(9): 1527-1531 (2019) - [j116]Felipe Martin Sampaio, Bruno Zatt, Muhammad Shafique, Jörg Henkel, Sergio Bampi:
Hybrid Scratchpad Video Memory Architecture for Energy-Efficient Parallel HEVC. IEEE Trans. Circuits Syst. Video Technol. 29(10): 3046-3060 (2019) - [j115]Jorge Castro-Godínez, Muhammad Shafique, Jörg Henkel:
ECAx: Balancing Error Correction Costs in Approximate Accelerators. ACM Trans. Embed. Comput. Syst. 18(5s): 48:1-48:20 (2019) - [j114]Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel:
Oops: Optimizing Operation-mode Selection for IoT Edge Devices. ACM Trans. Internet Techn. 19(2): 22:1-22:21 (2019) - [j113]Behnam Khaleghi, Behzad Omidi, Hussam Amrouch, Jörg Henkel, Hossein Asadi:
Estimating and Mitigating Aging Effects in Routing Network of FPGAs. IEEE Trans. Very Large Scale Integr. Syst. 27(3): 651-664 (2019) - [j112]Sami Salamin, Victor M. van Santen, Hussam Amrouch, Narendra Parihar, Souvik Mahapatra, Jörg Henkel:
Modeling the Interdependences Between Voltage Fluctuation and BTI Aging. IEEE Trans. Very Large Scale Integr. Syst. 27(7): 1652-1665 (2019) - [c318]Martin Rapp, Sami Salamin, Hussam Amrouch, Girish Pahwa, Yogesh Singh Chauhan, Jörg Henkel:
Performance, Power and Cooling Trade-Offs with NCFET-based Many-Cores. DAC 2019: 41 - [c317]Sheriff Sadiqbatcha, Hengyang Zhao, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan:
Hot Spot Identification and System Parameterized Thermal Modeling for Multi-Core Processors Through Infrared Thermal Imaging. DATE 2019: 48-53 - [c316]Jörg Henkel, Heba Khdr, Martin Rapp:
Smart Thermal Management for Heterogeneous Multicores. DATE 2019: 132-137 - [c315]Sajjad Hussain, Muhammad Shafique, Jörg Henkel:
A Fine-Grained Soft Error Resilient Architecture under Power Considerations. DATE 2019: 972-975 - [c314]Manolis Katsaragakis, Dimosthenis Masouros, Vasileios Tsoutsouras, Farzad Samie, Lars Bauer, Jörg Henkel, Dimitrios Soudris:
DMRM: Distributed Market-Based Resource Management of Edge Computing Systems. DATE 2019: 1391-1396 - [c313]Sajjad Hussain, Muhammad Shafique, Jörg Henkel:
Thermal-Awareness in a Soft Error Tolerant Architecture. DATE 2019: 1555-1558 - [c312]Martin Rapp, Anuj Pathania, Tulika Mitra, Jörg Henkel:
Prediction-Based Task Migration on S-NUCA Many-Cores. DATE 2019: 1579-1582 - [c311]Sami Salamin, Hussam Amrouch, Jörg Henkel:
Selecting the Optimal Energy Point in Near-Threshold Computing. DATE 2019: 1691-1696 - [c310]Lars Bauer, Marvin Damschen, Dirk Ziegenbein, Arne Hamann, Alessandro Biondi, Giorgio C. Buttazzo, Jörg Henkel:
Analyses and architectures for mixed-critical systems: industry trends and research perspective. EMSOFT Companion 2019: 13 - [c309]Marvin Damschen, Lars Bauer, Jörg Henkel:
WCET Guarantees for Opportunistic Runtime Reconfiguration. ICCAD 2019: 1-6 - [c308]Jörg Henkel, Hussam Amrouch, Martin Rapp, Sami Salamin, Dayane Reis, Di Gao, Xunzhao Yin, Michael T. Niemier, Cheng Zhuo, Xiaobo Sharon Hu, Hsiang-Yun Cheng, Chia-Lin Yang:
The Impact of Emerging Technologies on Architectures and System-level Management: Invited Paper. ICCAD 2019: 1-6 - [c307]Hussam Amrouch, Victor M. van Santen, Om Prakash, Hammam Kattan, Sami Salamin, Simon Thomann, Jörg Henkel:
Reliability Challenges with Self-Heating and Aging in FinFET Technology. IOLTS 2019: 68-71 - [c306]Frank Sill Torres, Hussam Amrouch, Jörg Henkel, Rolf Drechsler:
Impact of NBTI on Increasing the Susceptibility of FinFET to Radiation. IRPS 2019: 1-6 - [c305]Jongho Kim, Heesu Kim, Hussam Amrouch, Jörg Henkel, Andreas Gerstlauer, Kiyoung Choi:
Aging Gracefully with Approximation. ISCAS 2019: 1-5 - [c304]Sami Salamin, Martin Rapp, Hussam Amrouch, Girish Pahwa, Yogesh Singh Chauhan, Jörg Henkel:
NCFET-Aware Voltage Scaling. ISLPED 2019: 1-6 - [c303]Lei Han, Hussam Amrouch, Zili Shao, Jörg Henkel:
Rebirth-FTL: Lifetime optimization via Approximate Storage for NAND Flash. NVMSA 2019: 1-6 - [c302]Behnaz Pourmohseni, Fedor Smirnov, Heba Khdr, Stefan Wildermann, Jürgen Teich, Jörg Henkel:
Thermally Composable Hybrid Application Mapping for Real-Time Applications in Heterogeneous Many-Core Systems. RTSS 2019: 220-232 - [p6]Semeen Rehman, Bharath Srinivas Prabakaran, Walaa El-Harouni, Muhammad Shafique, Jörg Henkel:
Heterogeneous Approximate Multipliers: Architectures and Design Methodologies. Approximate Circuits 2019: 45-66 - 2018
- [j111]Heba Khdr, Santiago Pagani, Muhammad Shafique, Jörg Henkel:
Chapter Four - Dark Silicon Aware Resource Management for Many-Core Systems. Adv. Comput. 110: 127-170 (2018) - [j110]Hussam Amrouch, Girish Pahwa, Amol D. Gaidhane, Jörg Henkel, Yogesh Singh Chauhan:
Negative Capacitance Transistor to Address the Fundamental Limitations in Technology Scaling: Processor Performance. IEEE Access 6: 52754-52765 (2018) - [j109]Jörg Henkel:
Design and Test of Energy-Efficient, High-Performance, and Secure Computing Technologies via Accelerators. IEEE Des. Test 35(1): 4 (2018) - [j108]Jörg Henkel:
From the EIC: Time-Critical Systems Design. IEEE Des. Test 35(2): 4 (2018) - [j107]Jörg Henkel:
Test for Automotive. IEEE Des. Test 35(3): 4 (2018) - [j106]Jörg Henkel:
Time-Critical Systems Design, Part II. IEEE Des. Test 35(4): 4 (2018) - [j105]Jörg Henkel:
Self-Awareness in Systems on Chip, Part II. IEEE Des. Test 35(5): 4 (2018) - [j104]Jörg Henkel:
Managing Electric Vehicles. IEEE Des. Test 35(6): 4 (2018) - [j103]Sybille Hellebrand, Jörg Henkel, Anand Raghunathan, Hans-Joachim Wunderlich:
Guest Editors' Introduction. IEEE Embed. Syst. Lett. 10(1): 1 (2018) - [j102]Sheldon X.-D. Tan, Hussam Amrouch, Taeyoung Kim, Zeyu Sun, Chase Cook, Jörg Henkel:
Recent advances in EM and BTI induced reliability modeling, analysis and optimization (invited). Integr. 60: 132-152 (2018) - [j101]Heba Khdr, Hussam Amrouch, Jörg Henkel:
Aging-Aware Boosting. IEEE Trans. Computers 67(9): 1217-1230 (2018) - [j100]Marvin Damschen, Frank Mueller, Jörg Henkel:
Co-Scheduling on Fused CPU-GPU Architectures With Shared Last Level Caches. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(11): 2337-2347 (2018) - [j99]Tanfer Alan, Jörg Henkel:
SlackHammer: Logic Synthesis for Graceful Errors Under Frequency Scaling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(11): 2802-2811 (2018) - [j98]Victor M. van Santen, Javier Martín-Martínez, Hussam Amrouch, Montserrat Nafría, Jörg Henkel:
Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI, and PV. IEEE Trans. Circuits Syst. I Regul. Pap. 65-I(1): 293-306 (2018) - [j97]Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel:
Distributed Trade-Based Edge Device Management in Multi-Gateway IoT. ACM Trans. Cyber Phys. Syst. 2(3): 17:1-17:25 (2018) - [j96]Petru Eles, Jörg Henkel:
Guest Editorial for the Special Issue of ESWEEK 2016. ACM Trans. Embed. Comput. Syst. 17(1): 14:1-14:3 (2018) - [j95]Enrico Rossi, Marvin Damschen, Lars Bauer, Giorgio C. Buttazzo, Jörg Henkel:
Preemption of the Partial Reconfiguration Process to Enable Real-Time Computing With FPGAs. ACM Trans. Reconfigurable Technol. Syst. 11(2): 10:1-10:24 (2018) - [c301]Heba Khdr, Hussam Amrouch, Jörg Henkel:
Aging-constrained performance optimization for multi cores. DAC 2018: 63:1-63:6 - [c300]Anuj Pathania, Heba Khdr, Muhammad Shafique, Tulika Mitra, Jörg Henkel:
QoS-aware stochastic power management for many-cores. DAC 2018: 69:1-69:6 - [c299]Anuj Pathania, Jörg Henkel:
Task scheduling for many-cores with S-NUCA caches. DATE 2018: 557-562 - [c298]Farzad Samie, Sebastian Paul, Lars Bauer, Jörg Henkel:
Highly efficient and accurate seizure prediction on constrained IoT devices. DATE 2018: 955-960 - [c297]Jorge Castro-Godínez, Sven Esser, Muhammad Shafique, Santiago Pagani, Jörg Henkel:
Compiler-driven error analysis for designing approximate accelerators. DATE 2018: 1027-1032 - [c296]Jörg Henkel, Jürgen Teich, Stefan Wildermann, Hussam Amrouch:
Dynamic resource management for heterogeneous many-cores. ICCAD 2018: 60 - [c295]Hussam Amrouch, Victor M. van Santen, Jörg Henkel:
Estimating and optimizing BTI aging effects: from physics to CAD. ICCAD 2018: 125 - [c294]Behzad Boroujerdian, Hussam Amrouch, Jörg Henkel, Andreas Gerstlauer:
Trading Off Temperature Guardbands via Adaptive Approximations. ICCD 2018: 202-209 - [c293]Victor M. van Santen, Hussam Amrouch, Jörg Henkel:
Reliability Estimations of Large Circuits in Massively-Parallel GPU-SPICE. IOLTS 2018: 143-146 - [c292]Victor M. van Santen, Javier Diaz-Fortuny, Hussam Amrouch, Javier Martín-Martínez, Rosana Rodríguez, Rafael Castro-López, Elisenda Roca, Francisco V. Fernández, Jörg Henkel, Montserrat Nafría:
Weighted time lag plot defect parameter extraction and GPU-based BTI modeling for BTI variability. IRPS 2018: 6-1 - [c291]Martin Rapp, Anuj Pathania, Jörg Henkel:
Pareto-Optimal Power- and Cache-Aware Task Mapping for Many-Cores with Distributed Shared Last-Level Cache. ISLPED 2018: 16:1-16:6 - [c290]Vanchinathan Venkataramani, Anuj Pathania, Muhammad Shafique, Tulika Mitra, Jörg Henkel:
Scalable Dynamic Task Scheduling on Adaptive Many-Core. MCSoC 2018: 168-175 - [c289]Hussam Amrouch, Behnam Khaleghi, Jörg Henkel:
Voltage Adaptation Under Temperature Variation. SMACD 2018: 57-60 - 2017
- [j94]Jörg Henkel, Paolo Montuschi:
Computer Engineers' Challenges for the Next Decade: The Triangle of Power Density, Circuit Degradation, and Reliability. Computer 50(7): 12 (2017) - [j93]Jörg Henkel:
3D Test. IEEE Des. Test 34(1): 4-5 (2017) - [j92]Lothar Thiele, Jörg Henkel:
Report of the 2016 Embedded Systems Week (ESWEEK). IEEE Des. Test 34(1): 106-107 (2017) - [j91]Jörg Henkel:
Power Density. IEEE Des. Test 34(2): 4 (2017) - [j90]Jörg Henkel:
Emerging Memory Technologies. IEEE Des. Test 34(3): 4-5 (2017) - [j89]Hussam Amrouch, Victor M. van Santen, Jörg Henkel:
Interdependencies of Degradation Effects and Their Impact on Computing. IEEE Des. Test 34(3): 59-67 (2017) - [j88]Jörg Henkel:
Cyber-Physical Systems Security and Privacy. IEEE Des. Test 34(4): 4 (2017) - [j87]Jörg Henkel:
Verification and Test. IEEE Des. Test 34(5): 4 (2017) - [j86]Jörg Henkel:
Self-Aware On-Chip Systems. IEEE Des. Test 34(6): 4-5 (2017) - [j85]Marvin Damschen, Lars Bauer, Jörg Henkel:
CoRQ: Enabling Runtime Reconfiguration Under WCET Guarantees for Real-Time Systems. IEEE Embed. Syst. Lett. 9(3): 77-80 (2017) - [j84]Muhammad Usama Sardar, Osman Hasan, Muhammad Shafique, Jörg Henkel:
Theorem proving based Formal Verification of Distributed Dynamic Thermal Management schemes. J. Parallel Distributed Comput. 100: 157-171 (2017) - [j83]Mateus Grellert, Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
Complexity control of HEVC encoders targeting real-time constraints. J. Real Time Image Process. 13(1): 5-24 (2017) - [j82]Syed Ali Asadullah Bukhari, Faiq Khalid Lodhi, Osman Hasan, Muhammad Shafique, Jörg Henkel:
FAMe-TM: Formal analysis methodology for task migration algorithms in Many-Core systems. Sci. Comput. Program. 133: 154-174 (2017) - [j81]Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel:
Defragmentation of Tasks in Many-Core Architecture. ACM Trans. Archit. Code Optim. 14(1): 2:1-2:21 (2017) - [j80]Santiago Pagani, Heba Khdr, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel:
Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon. IEEE Trans. Computers 66(1): 147-162 (2017) - [j79]Heba Khdr, Santiago Pagani, Éricles Sousa, Vahid Lari, Anuj Pathania, Frank Hannig, Muhammad Shafique, Jürgen Teich, Jörg Henkel:
Power Density-Aware Resource Management for Heterogeneous Tiled Multicores. IEEE Trans. Computers 66(3): 488-501 (2017) - [j78]Sana Mazahir, Osman Hasan, Rehan Hafiz, Muhammad Shafique, Jörg Henkel:
Probabilistic Error Modeling for Approximate Adders. IEEE Trans. Computers 66(3): 515-530 (2017) - [j77]Muhammad Shafique, Semeen Rehman, Florian Kriebel, Muhammad Usman Karim Khan, Bruno Zatt, Arun Subramaniyan, Bruno Boessio Vizzotto, Jörg Henkel:
Application-Guided Power-Efficient Fault Tolerance for H.264 Context Adaptive Variable Length Coding. IEEE Trans. Computers 66(4): 560-574 (2017) - [j76]Tuo Li, Muhammad Shafique, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran:
Fine-Grained Checkpoint Recovery for Application-Specific Instruction-Set Processors. IEEE Trans. Computers 66(4): 647-660 (2017) - [j75]Hongyan Zhang, Lars Bauer, Michael A. Kochte, Eric Schneider, Hans-Joachim Wunderlich, Jörg Henkel:
Aging Resilience and Fault Tolerance in Runtime Reconfigurable Architectures. IEEE Trans. Computers 66(6): 957-970 (2017) - [j74]Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel:
Optimal Greedy Algorithm for Many-Core Scheduling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(6): 1054-1058 (2017) - [j73]Santiago Pagani, Anuj Pathania, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel:
Energy Efficiency for Clustered Heterogeneous Multicores. IEEE Trans. Parallel Distributed Syst. 28(5): 1315-1330 (2017) - [j72]Marvin Damschen, Lars Bauer, Jörg Henkel:
Timing Analysis of Tasks on Runtime Reconfigurable Processors. IEEE Trans. Very Large Scale Integr. Syst. 25(1): 294-307 (2017) - [j71]Artjom Grudnitsky, Lars Bauer, Jörg Henkel:
Efficient Partial Online Synthesis of Special Instructions for Reconfigurable Processors. IEEE Trans. Very Large Scale Integr. Syst. 25(2): 594-607 (2017) - [c288]Hussam Amrouch, Jörg Henkel:
Containing guardbands. ASP-DAC 2017: 537-542 - [c287]Hussam Amrouch, Prashanth Krishnamurthy, Naman Patel, Jörg Henkel, Ramesh Karri, Farshad Khorrami:
Emerging (un-)reliability based security threats and mitigations for embedded systems: special session. CASES 2017: 17:1-17:10 - [c286]Hussam Amrouch, Behnam Khaleghi, Andreas Gerstlauer, Jörg Henkel:
Towards Aging-Induced Approximations. DAC 2017: 41:1-41:6 - [c285]Arun Subramaniyan, Semeen Rehman, Muhammad Shafique, Akash Kumar, Jörg Henkel:
Soft error-aware architectural exploration for designing reliability adaptive cache hierarchies in multi-cores. DATE 2017: 37-42 - [c284]Hussam Amrouch, Behnam Khaleghi, Jörg Henkel:
Optimizing temperature guardbands. DATE 2017: 175-180 - [c283]Anuj Pathania, Heba Khdr, Muhammad Shafique, Tulika Mitra, Jörg Henkel:
Scalable probabilistic power budgeting for many-cores. DATE 2017: 864-869 - [c282]Jörg Henkel, Santiago Pagani, Hussam Amrouch, Lars Bauer, Farzad Samie:
Ultra-low power and dependability for IoT devices (Invited paper for IoT technologies). DATE 2017: 954-959 - [c281]Syed Ali Asadullah Bukhari, Faiq Khalid Lodhi, Osman Hasan, Muhammad Shafique, Jörg Henkel:
CAnDy-TM: Comparative analysis of dynamic thermal management in many-cores using model checking. DATE 2017: 1289-1292 - [c280]Vasileios Tenentes, Charles Leech, Graeme M. Bragg, Geoff V. Merrett, Bashir M. Al-Hashimi, Hussam Amrouch, Jörg Henkel, Shidhartha Das:
Hardware and software innovations in energy-efficient system-reliability monitoring. DFT 2017: 1-5 - [c279]Hussam Amrouch, Jörg Henkel:
Evaluating and mitigating degradation effects in multimedia circuits. ESTIMedia 2017: 61-67 - [c278]Alexander Pöppl, Marvin Damschen, Florian Schmaus, Andreas Fried, Manuel Mohr, Matthias Blankertz, Lars Bauer, Jörg Henkel, Wolfgang Schröder-Preikschat, Michael Bader:
Shallow Water Waves on a Deep Technology Stack: Accelerating a Finite Volume Tsunami Model Using Reconfigurable Hardware in Invasive Computing. Euro-Par Workshops 2017: 676-687 - [c277]Hussam Amrouch, Jörg Henkel:
Containing Guardbands: From the Macro to Micro Time Domain. MBMV 2017: 13-14 - [c276]Jörg Henkel:
The triangle of power density, circuit degradation and reliability. SoCC 2017: 1-2 - [c275]Jörg Henkel:
The triangle of power density, circuit degradation and reliability. SoCC 2017: 1 - [c274]Tanja Harbaum, Christoph Schade, Marvin Damschen, Carsten Tradowsky, Lars Bauer, Jörg Henkel, Jürgen Becker:
Auto-SI: An adaptive reconfigurable processor with run-time loop detection and acceleration. SoCC 2017: 153-158 - [p5]Santiago Pagani, Muhammad Shafique, Jörg Henkel:
Design Space Exploration and Run-Time Adaptation for Multicore Resource Management Under Performance and Power Constraints. Handbook of Hardware/Software Codesign 2017: 301-332 - 2016
- [b5]Semeen Rehman, Muhammad Shafique, Jörg Henkel:
Reliable Software for Unreliable Hardware - A Cross Layer Perspective. Springer 2016, ISBN 978-3-319-25770-9, pp. I-XXI, 1-237 - [j70]Jörg Henkel:
Approximate Computing: Solving Computing's Inefficiency Problem? IEEE Des. Test 33(1): 4-5 (2016) - [j69]Jörg Henkel:
Three-Dimensional Integrated Circuits. IEEE Des. Test 33(2): 4-6 (2016) - [j68]Florian Kriebel, Muhammad Shafique, Semeen Rehman, Jörg Henkel, Siddharth Garg:
Variability and Reliability Awareness in the Age of Dark Silicon. IEEE Des. Test 33(2): 59-67 (2016) - [j67]Jörg Henkel:
Robustness for 3-D Circuits - Industrial Perspectives. IEEE Des. Test 33(3): 4-5 (2016) - [j66]Jörg Henkel:
Designing and Testing Implantable Medical Devices. IEEE Des. Test 33(4): 4-5 (2016) - [j65]Jörg Henkel:
New Directions in Analog/Mixed-Signal Design and Test. IEEE Des. Test 33(5): 4 (2016) - [j64]Jörg Henkel:
Best in Test. IEEE Des. Test 33(6): 4 (2016) - [j63]Stefan Wildermann, Michael Bader, Lars Bauer, Marvin Damschen, Dirk Gabriel, Michael Gerndt, Michael Glaß, Jörg Henkel, Johny Paul, Alexander Pöppl, Sascha Roloff, Tobias Schwarzer, Gregor Snelting, Walter Stechele, Jürgen Teich, Andreas Weichslgartner, Andreas Zwinkau:
Invasive computing for timing-predictable stream processing on MPSoCs. it Inf. Technol. 58(6): 267-280 (2016) - [j62]Santiago Pagani, Lars Bauer, Qingqing Chen, Elisabeth Glocker, Frank Hannig, Andreas Herkersdorf, Heba Khdr, Anuj Pathania, Ulf Schlichtmann, Doris Schmitt-Landsiedel, Mark Sagi, Éricles Sousa, Philipp Wagner, Volker Wenzel, Thomas Wild, Jörg Henkel:
Dark silicon management: an integrated and coordinated cross-layer approach. it Inf. Technol. 58(6): 297-307 (2016) - [j61]Marvin Damschen, Lars Bauer, Jörg Henkel:
Extending the WCET Problem to Optimize for Runtime-Reconfigurable Processors. ACM Trans. Archit. Code Optim. 13(4): 45:1-45:24 (2016) - [j60]Semeen Rehman, Kuan-Hsun Chen, Florian Kriebel, Anas Toma, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel:
Cross-Layer Software Dependability on Unreliable Hardware. IEEE Trans. Computers 65(1): 80-94 (2016) - [j59]Muhammad Shafique, Anton Ivanov, Benjamin Vogel, Jörg Henkel:
Scalable Power Management for On-Chip Systems with Malleable Applications. IEEE Trans. Computers 65(11): 3398-3412 (2016) - [j58]Kuan-Hsun Chen, Jian-Jia Chen, Florian Kriebel, Semeen Rehman, Muhammad Shafique, Jörg Henkel:
Task Mapping for Redundant Multithreading in Multi-Cores with Reliability and Performance Heterogeneity. IEEE Trans. Computers 65(11): 3441-3455 (2016) - [j57]Muhammad Shafique, Muhammad Usman Karim Khan, Jörg Henkel:
Content-Aware Low-Power Configurable Aging Mitigation for SRAM Memories. IEEE Trans. Computers 65(12): 3617-3630 (2016) - [j56]Amit Kumar Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel:
Resource and Throughput Aware Execution Trace Analysis for Efficient Run-Time Mapping on MPSoCs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(1): 72-85 (2016) - [j55]Fazal Hameed, Lars Bauer, Jörg Henkel:
Architecting On-Chip DRAM Cache for Simultaneous Miss Rate and Latency Reduction. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(4): 651-664 (2016) - [j54]Florian Kriebel, Semeen Rehman, Arun Subramaniyan, Segnon Jean Bruno Ahandagbe, Muhammad Shafique, Jörg Henkel:
Reliability-Aware Adaptations for Shared Last-Level Caches in Multi-Cores. ACM Trans. Embed. Comput. Syst. 15(4): 67:1-67:26 (2016) - [j53]Hossein Tajik, Bryan Donyanavard, Nikil D. Dutt, Janmartin Jahn, Jörg Henkel:
SPMPool: Runtime SPM Management for Memory-Intensive Applications in Embedded Many-Cores. ACM Trans. Embed. Comput. Syst. 16(1): 25:1-25:27 (2016) - [j52]Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel:
Power-Efficient Workload Balancing for Video Applications. IEEE Trans. Very Large Scale Integr. Syst. 24(6): 2089-2102 (2016) - [j51]Mohammad Salehi, Mohammad Khavari Tavana, Semeen Rehman, Muhammad Shafique, Alireza Ejlali, Jörg Henkel:
Two-State Checkpointing for Energy-Efficient Fault Tolerance in Hard Real-Time Systems. IEEE Trans. Very Large Scale Integr. Syst. 24(7): 2426-2437 (2016) - [j50]Amit Kumar Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel:
Analysis and Mapping for Thermal and Energy Efficiency of 3-D Video Processing on 3-D Multicore Processors. IEEE Trans. Very Large Scale Integr. Syst. 24(8): 2745-2758 (2016) - [c273]Paul Bogdan, Partha Pratim Pande, Hussam Amrouch, Muhammad Shafique, Jörg Henkel:
Power and thermal management in massive multicore chips: theoretical foundation meets architectural innovation and resource allocation. CASES 2016: 4:1-4:2 - [c272]Farzad Samie, Lars Bauer, Jörg Henkel:
IoT technologies for embedded computing: a survey. CODES+ISSS 2016: 8:1-8:10 - [c271]Farzad Samie, Vasileios Tsoutsouras, Sotirios Xydis, Lars Bauer, Dimitrios Soudris, Jörg Henkel:
Distributed QoS management for internet of things under resource constraints. CODES+ISSS 2016: 9:1-9:10 - [c270]Hussam Amrouch, Behnam Khaleghi, Andreas Gerstlauer, Jörg Henkel:
Reliability-aware design to suppress aging. DAC 2016: 12:1-12:6 - [c269]Florian Kriebel, Semeen Rehman, Muhammad Shafique, Jörg Henkel:
ageOpt-RMT: compiler-driven variation-aware aging optimization for redundant multithreading. DAC 2016: 46:1-46:6 - [c268]Alok Prakash, Hussam Amrouch, Muhammad Shafique, Tulika Mitra, Jörg Henkel:
Improving mobile gaming performance through cooperative CPU-GPU thermal management. DAC 2016: 47:1-47:6 - [c267]Victor M. van Santen, Hussam Amrouch, Javier Martín-Martínez, Montserrat Nafría, Jörg Henkel:
Designing guardbands for instantaneous aging effects. DAC 2016: 69:1-69:6 - [c266]Sana Mazahir, Osman Hasan, Rehan Hafiz, Muhammad Shafique, Jörg Henkel:
An area-efficient consolidated configurable error correction for approximate hardware accelerators. DAC 2016: 96:1-96:6 - [c265]Muhammad Shafique, Rehan Hafiz, Semeen Rehman, Walaa El-Harouni, Jörg Henkel:
Invited - Cross-layer approximate computing: from logic to architectures. DAC 2016: 99:1-99:6 - [c264]Hongyan Zhang, Lars Bauer, Jörg Henkel:
Resource budgeting for reliability in reconfigurable architectures. DAC 2016: 111:1-111:6 - [c263]Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel:
Distributed scheduling for many-cores using cooperative game theory. DAC 2016: 133:1-133:6 - [c262]Jörg Henkel, Santiago Pagani, Heba Khdr, Florian Kriebel, Semeen Rehman, Muhammad Shafique:
Towards performance and reliability-efficient computing in the dark silicon era. DATE 2016: 1-6 - [c261]Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel:
Distributed fair scheduling for many-cores. DATE 2016: 379-384 - [c260]Victor M. van Santen, Hussam Amrouch, Narendra Parihar, Souvik Mahapatra, Jörg Henkel:
Aging-aware voltage scaling. DATE 2016: 576-581 - [c259]Shafaq Iqtedar, Osman Hasan, Muhammad Shafique, Jörg Henkel:
Formal probabilistic analysis of distributed resource management schemes in on-chip systems. DATE 2016: 930-935 - [c258]Daniel Palomino, Muhammad Shafique, Altamiro Amadeu Susin, Jörg Henkel:
Thermal optimization using adaptive approximate computing for video coding. DATE 2016: 1207-1212 - [c257]Muhammad Usman Karim Khan, Muhammad Shafique, Apratim Gupta, Thomas Schumann, Jörg Henkel:
Power-efficient load-balancing on heterogeneous computing platforms. DATE 2016: 1469-1472 - [c256]Behnam Khaleghi, Behzad Omidi, Hussam Amrouch, Jörg Henkel, Hossein Asadi:
Stress-aware routing to mitigate aging effects in SRAM-based FPGAs. FPL 2016: 1-8 - [c255]Semeen Rehman, Walaa El-Harouni, Muhammad Shafique, Akash Kumar, Jörg Henkel:
Architectural-space exploration of approximate multipliers. ICCAD 2016: 80 - [c254]Jörg Henkel, Hussam Amrouch:
Designing reliable, yet energy-efficient guardbands. ICECS 2016: 540-543 - [c253]Anas Toma, Santiago Pagani, Jian-Jia Chen, Wolfgang Karl, Jörg Henkel:
An Energy-Efficient Middleware for Computation Offloading in Real-Time Embedded Systems. RTCSA 2016: 228-237 - [c252]Muhammad Shafique, Semeen Rehman, Florian Kriebel, Jörg Henkel:
Cross-Layer Reliability Modeling and Optimization: Compiler and Run-Time System Interactions. SCOPES 2016: 2-5 - [c251]Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel:
Computation offloading and resource allocation for low-power IoT edge devices. WF-IoT 2016: 7-12 - 2015
- [j49]Jörg Henkel:
Dependable embedded systems. it Inf. Technol. 57(3): 147-148 (2015) - [j48]Lars Bauer, Jörg Henkel, Andreas Herkersdorf, Michael A. Kochte, Johannes Maximilian Kühn, Wolfgang Rosenstiel, Thomas Schweizer, Stefan Wallentowitz, Volker Wenzel, Thomas Wild, Hans-Joachim Wunderlich, Hongyan Zhang:
Adaptive multi-layer techniques for increased system dependability. it Inf. Technol. 57(3): 149-158 (2015) - [j47]Johny Paul, Walter Stechele, Benjamin Oechslein, Christoph Erhardt, Jens Schedel, Daniel Lohmann, Wolfgang Schröder-Preikschat, Manfred Kröhnert, Tamim Asfour, Éricles Sousa, Vahid Lari, Frank Hannig, Jürgen Teich, Artjom Grudnitsky, Lars Bauer, Jörg Henkel:
Resource-awareness on heterogeneous MPSoCs for image processing. J. Syst. Archit. 61(10): 668-680 (2015) - [j46]Cláudio Machado Diniz, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
A Reconfigurable Hardware Architecture for Fractional Pixel Interpolation in High Efficiency Video Coding. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(2): 238-251 (2015) - [j45]Santiago Pagani, Jian-Jia Chen, Jörg Henkel:
Energy and Peak Power Efficiency Analysis for the Single Voltage Approximation (SVA) Scheme. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(9): 1415-1428 (2015) - [j44]Muhammad Usman Karim Khan, Muhammad Shafique, Lars Bauer, Jörg Henkel:
Multicast FullHD H.264 Intra Video Encoder Architecture. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(12): 2049-2053 (2015) - [j43]Janmartin Jahn, Santiago Pagani, Sebastian Kobbe, Jian-Jia Chen, Jörg Henkel:
Runtime Resource Allocation for Software Pipelines. ACM Trans. Parallel Comput. 2(1): 5:1-5:23 (2015) - [c250]Xi Zhang, Haris Javaid, Muhammad Shafique, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran:
ADAPT: An adaptive manycore methodology for software pipelined applications. ASP-DAC 2015: 701-706 - [c249]Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel:
Approximation-aware Multi-Level Cells STT-RAM cache architecture. CASES 2015: 79-88 - [c248]Florian Kriebel, Arun Subramaniyan, Semeen Rehman, Segnon Jean Bruno Ahandagbe, Muhammad Shafique, Jörg Henkel:
R2Cache: Reliability-aware reconfigurable last-level cache architecture for multi-cores. CODES+ISSS 2015: 1-10 - [c247]Mohammad Salehi, Muhammad Shafique, Florian Kriebel, Semeen Rehman, Mohammad Khavari Tavana, Alireza Ejlali, Jörg Henkel:
dsReliM: Power-constrained reliability management in Dark-Silicon many-core chips under process variations. CODES+ISSS 2015: 75-82 - [c246]Santiago Pagani, Muhammad Shafique, Heba Khdr, Jian-Jia Chen, Jörg Henkel:
seBoost: Selective boosting for heterogeneous manycores. CODES+ISSS 2015: 104-113 - [c245]Farzad Samie, Lars Bauer, Jörg Henkel:
An approximate compressor for wearable biomedical healthcare monitoring systems. CODES+ISSS 2015: 133-142 - [c244]Haseeb Bokhari, Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran:
SuperNet: multimode interconnect architecture for manycore chips. DAC 2015: 85:1-85:6 - [c243]Muhammad Shafique, Waqas Ahmad, Rehan Hafiz, Jörg Henkel:
A low latency generic accuracy configurable adder. DAC 2015: 86:1-86:6 - [c242]Muhammad Shafique, Muhammad Usman Karim Khan, Adnan Orcun Tüfek, Jörg Henkel:
EnAAM: energy-efficient anti-aging for on-chip video memories. DAC 2015: 101:1-101:6 - [c241]Jörg Henkel, Heba Khdr, Santiago Pagani, Muhammad Shafique:
New trends in dark silicon. DAC 2015: 119:1-119:6 - [c240]Heba Khdr, Santiago Pagani, Muhammad Shafique, Jörg Henkel:
Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips. DAC 2015: 179:1-179:6 - [c239]Dennis Gnad, Muhammad Shafique, Florian Kriebel, Semeen Rehman, Duo Sun, Jörg Henkel:
Hayat: harnessing dark silicon and variability for aging deceleration and balancing. DAC 2015: 180:1-180:6 - [c238]Farzad Samie, Lars Bauer, Chih-Ming Hsieh, Jörg Henkel:
Online binding of applications to multiple clock domains in shared FPGA-based systems. DATE 2015: 25-30 - [c237]Xi Zhang, Haris Javaid, Muhammad Shafique, Jorgen Peddersen, Jörg Henkel, Sri Parameswaran:
E-pipeline: elastic hardware/software pipelines on a many-core fabric. DATE 2015: 363-368 - [c236]Muhammad Shafique, Dennis Gnad, Siddharth Garg, Jörg Henkel:
Variability-aware dark silicon management in on-chip many-core systems. DATE 2015: 387-392 - [c235]Sebastian Kobbe, Lars Bauer, Jörg Henkel:
Adaptive on-the-fly application performance modeling for many cores. DATE 2015: 730-735 - [c234]Florian Kriebel, Semeen Rehman, Duo Sun, Pau Vilimelis Aceituno, Muhammad Shafique, Jörg Henkel:
ACSEM: accuracy-configurable fast soft error masking analysis in combinatorial circuits. DATE 2015: 824-829 - [c233]Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel:
Power-efficient accelerator allocation in adaptive dark silicon many-core systems. DATE 2015: 916-919 - [c232]Shafaq Iqtedar, Osman Hasan, Muhammad Shafique, Jörg Henkel:
Formal probabilistic analysis of distributed dynamic thermal management. DATE 2015: 1221-1224 - [c231]Haseeb Bokhari, Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran:
Malleable NoC: dark silicon inspired adaptable Network-on-Chip. DATE 2015: 1245-1248 - [c230]Cláudio Machado Diniz, Muhammad Shafique, Felipe Vogel Dalcin, Sergio Bampi, Jörg Henkel:
A deblocking filter hardware architecture for the high efficiency video coding standard. DATE 2015: 1509-1514 - [c229]Santiago Pagani, Jian-Jia Chen, Muhammad Shafique, Jörg Henkel:
MatEx: efficient transient and peak temperature computation for compact thermal models. DATE 2015: 1515-1520 - [c228]Lars Bauer, Artjom Grudnitsky, Marvin Damschen, Srinivas Rao Kerekare, Jörg Henkel:
Floating point acceleration for stream processing applications in dynamically reconfigurable processors. ESTIMedia 2015: 1-2 - [c227]Santiago Pagani, Jian-Jia Chen, Muhammad Shafique, Jörg Henkel:
Thermal-aware power budgeting for dark silicon chips. IGSC 2015: 1-6 - [c226]Hongyan Zhang, Michael A. Kochte, Eric Schneider, Lars Bauer, Hans-Joachim Wunderlich, Jörg Henkel:
STRAP: Stress-Aware Placement for Aging Mitigation in Runtime Reconfigurable Architectures. ICCAD 2015: 38-45 - [c225]Muhammad Shafique, Jörg Henkel:
Mitigating the Power Density and Temperature Problems in the Nano-Era. ICCAD 2015: 176-177 - [c224]Hussam Amrouch, Jörg Henkel:
Reliability degradation in the scope of aging - From physical to system level. IDT 2015: 9-12 - [c223]Hussam Amrouch, Javier Martín-Martínez, Victor M. van Santen, Miquel Moras, Rosana Rodríguez, Montserrat Nafría, Jörg Henkel:
Connecting the physical and application level towards grasping aging effects. IRPS 2015: 3 - [c222]Jörg Henkel, Muhammad Usman Karim Khan, Muhammad Shafique:
Energy-efficient multimedia systems for high efficiency video coding. ISCAS 2015: 613-616 - [c221]Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel:
Hierarchical power budgeting for Dark Silicon chips. ISLPED 2015: 213-218 - [c220]Mohammad Salehi, Mohammad Khavari Tavana, Semeen Rehman, Florian Kriebel, Muhammad Shafique, Alireza Ejlali, Jörg Henkel:
DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations. ISLPED 2015: 225-230 - [c219]Anuj Pathania, Santiago Pagani, Muhammad Shafique, Jörg Henkel:
Power management for mobile games on asymmetric multi-cores. ISLPED 2015: 243-248 - [c218]Hussam Amrouch, Jörg Henkel:
Lucid infrared thermography of thermally-constrained processors. ISLPED 2015: 347-352 - [c217]Jörg Henkel, Haseeb Bokhari, Siddharth Garg, Muhammad Usman Karim Khan, Heba Khdr, Florian Kriebel, Ümit Y. Ogras, Sri Parameswaran, Muhammad Shafique:
Dark Silicon: From Computation to Communication. NOCS 2015: 23:1-23:8 - [c216]Shafaq Iqtedar, Osman Hasan, Muhammad Shafique, Jörg Henkel:
Probabilistic Formal Verification Methodology for Decentralized Thermal Management in On-Chip Systems. WETICE 2015: 210-215 - 2014
- [j42]Nadine Azémard, Jörg Henkel:
Selected Peer-Reviewed Articles from the 4th European Workshop on CMOS Variability, Karlsruhe, Germany, September 9-11, 2013. J. Low Power Electron. 10(1): 116-117 (2014) - [j41]Andreas Herkersdorf, Hananeh Aliee, Michael Engel, Michael Glaß, Christina Gimmler-Dumont, Jörg Henkel, Veit Kleeberger, Michael A. Kochte, Johannes Maximilian Kühn, Daniel Mueller-Gritschneder, Sani R. Nassif, Holm Rauchfuss, Wolfgang Rosenstiel, Ulf Schlichtmann, Muhammad Shafique, Mehdi Baradaran Tahoori, Jürgen Teich, Norbert Wehn, Christian Weis, Hans-Joachim Wunderlich:
Resilience Articulation Point (RAP): Cross-layer dependability modeling for nanometer system-on-chip resilience. Microelectron. Reliab. 54(6-7): 1066-1074 (2014) - [j40]Jörg Henkel, Lars Bauer, Artjom Grudnitsky, Hongyan Zhang:
Adaptive embedded computing with i-core. SIGBED Rev. 11(3): 20-21 (2014) - [j39]Muhammad Shafique, Lars Bauer, Jörg Henkel:
Adaptive Energy Management for Dynamically Reconfigurable Processors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(1): 50-63 (2014) - [j38]Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran:
Energy-Efficient Adaptive Pipelined MPSoCs for Multimedia Applications. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(5): 663-676 (2014) - [j37]Hussam Amrouch, Thomas Ebi, Jörg Henkel:
RESI: Register-Embedded Self-Immunity for Reliability Enhancement. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(5): 677-690 (2014) - [j36]Semeen Rehman, Florian Kriebel, Muhammad Shafique, Jörg Henkel:
Reliability-Driven Software Transformations for Unreliable Hardware. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(11): 1597-1610 (2014) - [c215]Muhammad Shafique, Jörg Henkel:
Low power design of the next-generation High Efficiency Video Coding. ASP-DAC 2014: 274-281 - [c214]Artjom Grudnitsky, Lars Bauer, Jörg Henkel:
COREFAB: Concurrent reconfigurable fabric utilization in heterogeneous multi-core systems. CASES 2014: 5:1-5:10 - [c213]Martin Haaß, Lars Bauer, Jörg Henkel:
Automatic custom instruction identification in memory streaming algorithms. CASES 2014: 6:1-6:9 - [c212]Chih-Ming Hsieh, Farzad Samie, M. Sammer Srouji, Manyi Wang, Zhonglei Wang, Jörg Henkel:
Hardware/software co-design for a wireless sensor network platform. CODES+ISSS 2014: 1:1-1:10 - [c211]Santiago Pagani, Heba Khdr, Waqaas Munawar, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel:
TSP: Thermal Safe Power - Efficient power budgeting for many-core systems in dark silicon. CODES+ISSS 2014: 10:1-10:10 - [c210]Muhammad Shafique, Siddharth Garg, Tulika Mitra, Sri Parameswaran, Jörg Henkel:
Dark silicon as a challenge for hardware/software co-design. CODES+ISSS 2014: 13:1-13:10 - [c209]Florian Kriebel, Semeen Rehman, Duo Sun, Muhammad Shafique, Jörg Henkel:
ASER: Adaptive Soft Error Resilience for Reliability-Heterogeneous Processors in the Dark Silicon Era. DAC 2014: 12:1-12:6 - [c208]Hongyan Zhang, Michael A. Kochte, Michael E. Imhof, Lars Bauer, Hans-Joachim Wunderlich, Jörg Henkel:
GUARD: GUAranteed Reliability in Dynamically Reconfigurable Systems. DAC 2014: 32:1-32:6 - [c207]Fazal Hameed, Lars Bauer, Jörg Henkel:
Reducing Latency in an SRAM/DRAM Cache Hierarchy via a Novel Tag-Cache Architecture. DAC 2014: 37:1-37:6 - [c206]Jörg Henkel, Lars Bauer, Hongyan Zhang, Semeen Rehman, Muhammad Shafique:
Multi-Layer Dependability: From Microarchitecture to Application Level. DAC 2014: 47:1-47:6 - [c205]Semeen Rehman, Florian Kriebel, Duo Sun, Muhammad Shafique, Jörg Henkel:
dTune: Leveraging Reliable Code Generation for Adaptive Dependability Tuning under Process Variation and Aging-Induced Effects. DAC 2014: 84:1-84:6 - [c204]Jan Heisswolf, Aurang Zaib, Andreas Zwinkau, Sebastian Kobbe, Andreas Weichslgartner, Jürgen Teich, Jörg Henkel, Gregor Snelting, Andreas Herkersdorf, Jürgen Becker:
CAP: Communication Aware Programming. DAC 2014: 105:1-105:6 - [c203]Haseeb Bokhari, Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran:
darkNoC: Designing Energy-Efficient Network-on-Chip with Multi-Vt Cells for Dark Silicon. DAC 2014: 161:1-161:6 - [c202]Muhammad Shafique, Siddharth Garg, Jörg Henkel, Diana Marculescu:
The EDA Challenges in the Dark Silicon Era: Temperature, Reliability, and Variability Perspectives. DAC 2014: 185:1-185:6 - [c201]Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel:
Software architecture of High Efficiency Video Coding for many-core systems with power-efficient workload balancing. DATE 2014: 1-6 - [c200]Heba Khdr, Thomas Ebi, Muhammad Shafique, Hussam Amrouch, Jörg Henkel:
mDTM: Multi-objective dynamic thermal management for on-chip systems. DATE 2014: 1-6 - [c199]Daniel Palomino, Muhammad Shafique, Hussam Amrouch, Altamiro Amadeu Susin, Jörg Henkel:
hevcDTM: Application-driven Dynamic Thermal Management for High Efficiency Video Coding. DATE 2014: 1-4 - [c198]Semeen Rehman, Florian Kriebel, Muhammad Shafique, Jörg Henkel:
Compiler-driven dynamic reliability management for on-chip systems under variabilities. DATE 2014: 1-4 - [c197]Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel:
dSVM: Energy-efficient distributed Scratchpad Video Memory Architecture for the next-generation High Efficiency Video Coding. DATE 2014: 1-6 - [c196]Stefan Wallentowitz, Stefan Rosch, Thomas Wild, Andreas Herkersdorf, Volker Wenzel, Jörg Henkel:
Dependable task and communication migration in tiled manycore system-on-chip. FDL 2014: 1-8 - [c195]Artjom Grudnitsky, Lars Bauer, Jörg Henkel:
MORP: makespan optimization for processors with an embedded reconfigurable fabric. FPGA 2014: 127-136 - [c194]Cláudio Machado Diniz, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
Run-time accelerator binding for tile-based mixed-grained reconfigurable architectures. FPL 2014: 1-4 - [c193]Syed Ali Asadullah Bukhari, Faiq Khalid Lodhi, Osman Hasan, Muhammad Shafique, Jörg Henkel:
Formal Verification of Distributed Task Migration for Thermal Management in On-Chip Multi-core Systems Using nuXmv. FTSCS 2014: 32-46 - [c192]Felipe Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel:
Energy-efficient architecture for advanced video memory. ICCAD 2014: 132-139 - [c191]Hussam Amrouch, Victor M. van Santen, Thomas Ebi, Volker Wenzel, Jörg Henkel:
Towards interdependencies of aging mechanisms. ICCAD 2014: 478-485 - [c190]Muhammad Shafique, Muhammad Usman Karim Khan, Jörg Henkel:
Power efficient and workload balanced tiling for parallelized high efficiency video coding. ICIP 2014: 1253-1257 - [c189]Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel:
Fast hierarchical intra angular mode selection for high efficiency video coding. ICIP 2014: 3681-3685 - [c188]Waqaas Munawar, Heba Khdr, Santiago Pagani, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel:
Peak Power Management for scheduling real-time tasks on heterogeneous many-core systems. ICPADS 2014: 200-209 - [c187]Daniel Palomino, Muhammad Shafique, Altamiro Amadeu Susin, Jörg Henkel:
TONE: adaptive temperature optimization for the next generation video encoders. ISLPED 2014: 33-38 - [c186]Felipe Martin Sampaio, Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel:
Content-driven memory pressure balancing and video memory power management for parallel high efficiency video coding. ISLPED 2014: 153-158 - [c185]Kartikeya Bhardwaj, Pravin S. Mane, Jörg Henkel:
Power- and area-efficient Approximate Wallace Tree Multiplier for error-resilient systems. ISQED 2014: 263-269 - [c184]Edwin Hsing-Mean Sha, Jörg Henkel, Kaijie Wu, Tarek F. Abdelzaher, Hojung Cha:
Messages from the conference chairs. RTCSA 2014: 1 - [c183]M. Sammer Srouji, Talal Bonny, Jörg Henkel:
High-speed enoding/decoding technique for reliable data transmission in wireless sensor networks. SECON 2014: 329-336 - [c182]Jörg Henkel:
Dark Silicon - A thermal perspective. VLSI-DAT 2014: 1 - [e8]Davide Bertozzi, Luca Benini, Sudhakar Yalamanchili, Jörg Henkel:
Eighth IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, Ferrara, Italy, September 17-19, 2014. IEEE 2014, ISBN 978-1-4799-5347-9 [contents] - 2013
- [b4]Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
3D Video Coding for Embedded Devices - Energy Efficient Algorithms and Architectures. Springer 2013, ISBN 978-1-4614-6758-8, pp. I-XIX, 1-204 - [j35]Lars Bauer, Claus Braun, Michael E. Imhof, Michael A. Kochte, Eric Schneider, Hongyan Zhang, Jörg Henkel, Hans-Joachim Wunderlich:
Test Strategies for Reliable Runtime Reconfigurable Architectures. IEEE Trans. Computers 62(8): 1494-1507 (2013) - [j34]Bruno Boessio Vizzotto, Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
Model Predictive Hierarchical Rate Control With Markov Decision Process for Multiview Video Coding. IEEE Trans. Circuits Syst. Video Technol. 23(12): 2090-2104 (2013) - [j33]Jian-Jia Chen, Jörg Henkel, Xiaobo Sharon Hu:
Guest Editorial Special Section on Power-Aware Design for Embedded Systems. IEEE Trans. Ind. Informatics 9(1): 485-486 (2013) - [c181]Jörg Henkel, Thomas Ebi, Hussam Amrouch, Heba Khdr:
Thermal management for dependable on-chip systems. ASP-DAC 2013: 113-118 - [c180]Fazal Hameed, Lars Bauer, Jörg Henkel:
Simultaneously optimizing DRAM cache hit latency and miss rate via novel set mapping policies. CASES 2013: 11:1-11:10 - [c179]Manuel Mohr, Artjom Grudnitsky, Tobias Modschiedler, Lars Bauer, Sebastian Hack, Jörg Henkel:
Hardware acceleration for programs in SSA form. CASES 2013: 14:1-14:10 - [c178]Fazal Hameed, Lars Bauer, Jörg Henkel:
Reducing inter-core cache contention with an adaptive bank mapping policy in DRAM cache. CODES+ISSS 2013: 1:1-1:8 - [c177]Jörg Henkel, Vijaykrishnan Narayanan, Sri Parameswaran, Jürgen Teich:
Run-time adaption for highly-complex multi-core systems. CODES+ISSS 2013: 13:1-13:8 - [c176]Amit Kumar Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel:
Mapping on multi/many-core systems: survey of current and emerging trends. DAC 2013: 1:1-1:10 - [c175]Muhammad Shafique, Semeen Rehman, Pau Vilimelis Aceituno, Jörg Henkel:
Exploiting program-level masking and error propagation for constrained reliability optimization. DAC 2013: 17:1-17:9 - [c174]Tuo Li, Muhammad Shafique, Jude Angelo Ambrose, Semeen Rehman, Jörg Henkel, Sri Parameswaran:
RASTER: runtime adaptive spatial/temporal error resiliency for embedded processors. DAC 2013: 62:1-62:7 - [c173]Jörg Henkel, Lars Bauer, Nikil D. Dutt, Puneet Gupta, Sani R. Nassif, Muhammad Shafique, Mehdi Baradaran Tahoori, Norbert Wehn:
Reliable on-chip systems in the nano-era: lessons learnt and future trends. DAC 2013: 99:1-99:10 - [c172]Janmartin Jahn, Santiago Pagani, Sebastian Kobbe, Jian-Jia Chen, Jörg Henkel:
Optimizations for configuring and mapping software pipelines in many core systems. DAC 2013: 130:1-130:8 - [c171]Muhammad Shafique, Benjamin Vogel, Jörg Henkel:
Self-adaptive hybrid dynamic power management for many-core systems. DATE 2013: 51-56 - [c170]Fazal Hameed, Lars Bauer, Jörg Henkel:
Adaptive cache management for a combined SRAM and DRAM cache hierarchy for multi-cores. DATE 2013: 77-82 - [c169]Muhammad Usman Karim Khan, Jan Micha Borrmann, Lars Bauer, Muhammad Shafique, Jörg Henkel:
An H.264 Quad-FullHD low-latency intra video encoder. DATE 2013: 115-120 - [c168]Muhammad Usman Karim Khan, Muhammad Shafique, Mateus Grellert, Jörg Henkel:
Hardware-software collaborative complexity reduction scheme for the emerging HEVC intra encoder. DATE 2013: 125-128 - [c167]Zhonglei Wang, Jörg Henkel:
Fast and accurate cache modeling in source-level simulation of embedded software. DATE 2013: 587-592 - [c166]Felipe Sampaio, Bruno Zatt, Muhammad Shafique, Luciano Volcan Agostini, Sergio Bampi, Jörg Henkel:
Energy-efficient memory hierarchy for motion and disparity estimation in multiview video coding. DATE 2013: 665-670 - [c165]Tuo Li, Muhammad Shafique, Semeen Rehman, Swarnalatha Radhakrishnan, Roshan G. Ragel, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran:
CSER: HW/SW configurable soft-error resiliency for application specific instruction-set processors. DATE 2013: 707-712 - [c164]Chih-Ming Hsieh, Zhonglei Wang, Jörg Henkel:
DANCE: distributed application-aware node configuration engine in shared reconfigurable sensor networks. DATE 2013: 839-842 - [c163]Janmartin Jahn, Jörg Henkel:
Pipelets: self-organizing software pipelines for many-core architectures. DATE 2013: 1516-1521 - [c162]Semeen Rehman, Muhammad Shafique, Pau Vilimelis Aceituno, Florian Kriebel, Jian-Jia Chen, Jörg Henkel:
Leveraging variable function resilience for selective software reliability on unreliable hardware. DATE 2013: 1759-1764 - [c161]Hussam Amrouch, Thomas Ebi, Jörg Henkel:
Stress balancing to mitigate NBTI effects in register files. DSN 2013: 1-10 - [c160]Todor P. Stefanov, Maurizio Palesi, Jian-Jia Chen, Jörg Henkel:
Message from the chairs. ESTIMedia 2013: 1 - [c159]Abdulazim Amouri, Hussam Amrouch, Thomas Ebi, Jörg Henkel, Mehdi Baradaran Tahoori:
Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits. FCCM 2013: 57-60 - [c158]Hussam Amrouch, Thomas Ebi, Josef Schneider, Sridevan Parameswaran, Jörg Henkel:
Analyzing the thermal hotspots in FPGA-based embedded systems. FPL 2013: 1-4 - [c157]Muhammad Shafique, Jörg Henkel:
Agent-based distributed power management for kilo-core processors. ICCAD 2013: 153-160 - [c156]Muhammad Ismail, Osman Hasan, Thomas Ebi, Muhammad Shafique, Jörg Henkel:
Formal verification of distributed dynamic thermal management. ICCAD 2013: 248-255 - [c155]Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel:
AMBER: adaptive energy management for on-chip hybrid video memories. ICCAD 2013: 405-412 - [c154]Janmartin Jahn, Santiago Pagani, Jian-Jia Chen, Jörg Henkel:
MOMA: mapping of memory-intensive software-pipelined applications for systems with multiple memory controllers. ICCAD 2013: 508-515 - [c153]Tuo Li, Muhammad Shafique, Semeen Rehman, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran:
DHASER: dynamic heterogeneous adaptation for soft-error resiliency in ASIP-based multi-core systems. ICCAD 2013: 646-653 - [c152]Rana Muhammad Bilal, Rehan Hafiz, Muhammad Shafique, Saad Shoaib, Asim Munawar, Jörg Henkel:
ISOMER: integrated selection, partitioning, and placement methodology for reconfigurable architectures. ICCAD 2013: 755-762 - [c151]Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel:
An adaptive complexity reduction scheme with fast prediction unit decision for HEVC intra encoding. ICIP 2013: 1578-1582 - [c150]Felipe Sampaio, Bruno Zatt, Muhammad Shafique, Luciano Volcan Agostini, Jörg Henkel, Sergio Bampi:
Content-adaptive reference frame compression based on intra-frame prediction for multiview video coding. ICIP 2013: 1831-1835 - [c149]Mateus Grellert, Muhammad Shafique, Muhammad Usman Karim Khan, Luciano Volcan Agostini, Júlio C. B. de Mattos, Jörg Henkel:
An adaptive workload management scheme for HEVC encoding. ICIP 2013: 1850-1854 - [c148]Cláudio Machado Diniz, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
High-throughput interpolation hardware architecture with coarse-grained reconfigurable datapaths for HEVC. ICIP 2013: 2091-2095 - [c147]Muhammad Shafique, Muhammad Usman Karim Khan, Jörg Henkel:
Content-driven adaptive computation offloading for energy-aware hybrid distributed video coding. ISLPED 2013: 106-113 - [c146]Hongyan Zhang, Lars Bauer, Michael A. Kochte, Eric Schneider, Claus Braun, Michael E. Imhof, Hans-Joachim Wunderlich, Jörg Henkel:
Module diversification: Fault tolerance and aging mitigation for runtime reconfigurable architectures. ITC 2013: 1-10 - [c145]Daniel Palomino, Eduardo Cavichioli, Altamiro Amadeu Susin, Luciano Volcan Agostini, Muhammad Shafique, Jörg Henkel:
Fast HEVC intra mode decision algorithm based on new evaluation order in the Coding Tree Block. PCS 2013: 209-212 - [c144]Semeen Rehman, Anas Toma, Florian Kriebel, Muhammad Shafique, Jian-Jia Chen, Jörg Henkel:
Reliable code generation and execution on unreliable hardware under joint functional and timing reliability considerations. IEEE Real-Time and Embedded Technology and Applications Symposium 2013: 273-282 - [c143]Jörg Henkel:
Embedded on-chip reliability: it's a thermal challenge. M-SCOPES 2013: 1 - [c142]Janmartin Jahn, Sebastian Kobbe, Santiago Pagani, Jian-Jia Chen, Jörg Henkel:
Runtime resource allocation for software pipelines. M-SCOPES 2013: 96-99 - [e7]Jörg Henkel:
The IEEE/ACM International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013. IEEE 2013, ISBN 978-1-4799-1069-4 [contents] - 2012
- [j32]Mohammad Abdullah Al Faruque, Thomas Ebi, Jörg Henkel:
AdNoC: Runtime Adaptive Network-on-Chip Architecture. IEEE Trans. Very Large Scale Integr. Syst. 20(2): 257-269 (2012) - [c141]Lars Bauer, Claus Braun, Michael E. Imhof, Michael A. Kochte, Hongyan Zhang, Hans-Joachim Wunderlich, Jörg Henkel:
OTERA: Online test strategies for reliable reconfigurable architectures - Invited paper for the AHS-2012 special session "Dependability by reconfigurable hardware". AHS 2012: 38-45 - [c140]Jörg Henkel, Andreas Herkersdorf, Lars Bauer, Thomas Wild, Michael Hübner, Ravi Kumar Pujari, Artjom Grudnitsky, Jan Heisswolf, Aurang Zaib, Benjamin Vogel, Vahid Lari, Sebastian Kobbe:
Invasive manycore architectures. ASP-DAC 2012: 193-200 - [c139]Semeen Rehman, Muhammad Shafique, Florian Kriebel, Jörg Henkel:
RAISE: Reliability-Aware Instruction SchEduling for unreliable hardware. ASP-DAC 2012: 671-676 - [c138]Zhonglei Wang, Jörg Henkel:
HyCoS: hybrid compiled simulation of embedded software with target dependent code. CODES+ISSS 2012: 133-142 - [c137]Thomas Ebi, Hussam Amrouch, Jörg Henkel:
COOL: control-based optimization of load-balancing for thermal behavior. CODES+ISSS 2012: 255-264 - [c136]Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel:
A hierarchical control scheme for energy quota distribution in hybrid distributed video coding. CODES+ISSS 2012: 483-492 - [c135]Muhammad Shafique, Bruno Zatt, Fabio Leandro Walter, Sergio Bampi, Jörg Henkel:
Adaptive power management of on-chip video memory for multiview video coding. DAC 2012: 866-875 - [c134]Semeen Rehman, Muhammad Shafique, Jörg Henkel:
Instruction scheduling for reliability-aware compilation. DAC 2012: 1292-1300 - [c133]Zhonglei Wang, Jörg Henkel:
Accurate source-level simulation of embedded software with respect to compiler optimizations. DATE 2012: 382-387 - [c132]Fazal Hameed, Lars Bauer, Jörg Henkel:
Dynamic cache management in multi-core architectures through run-time adaptation. DATE 2012: 485-490 - [c131]Muhammad Shafique, Bruno Zatt, Semeen Rehman, Florian Kriebel, Jörg Henkel:
Power-efficient error-resiliency for H.264/AVC Context-Adaptive Variable Length Coding. DATE 2012: 697-702 - [c130]Artjom Grudnitsky, Lars Bauer, Jörg Henkel:
Partial online-synthesis for mixed-grained reconfigurable architectures. DATE 2012: 1555-1560 - [c129]Jörg Henkel, Oliver Bringmann, Andreas Herkersdorf, Wolfgang Rosenstiel, Norbert Wehn:
Dependable embedded systems: The German research foundation DFG priority program SPP 1500. ETS 2012: 1 - [c128]Lars Bauer, Artjom Grudnitsky, Muhammad Shafique, Jörg Henkel:
PATS: A Performance Aware Task Scheduler for Runtime Reconfigurable Processors. FCCM 2012: 208-215 - [c127]Bruno Boessio Vizzotto, Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
A Model Predictive Controller for Frame-Level Rate Control in Multiview Video Coding. ICME 2012: 485-490 - [c126]Chih-Ming Hsieh, Zhonglei Wang, Jörg Henkel:
A Reconfigurable Hardware Accelerated Platform for Clustered Wireless Sensor Networks. ICPADS 2012: 498-505 - [c125]Mohamed Abdelfattah, Lars Bauer, Claus Braun, Michael E. Imhof, Michael A. Kochte, Hongyan Zhang, Jörg Henkel, Hans-Joachim Wunderlich:
Transparent structural online test for reconfigurable systems. IOLTS 2012: 37-42 - [c124]Juan Feng, Zhonglei Wang, Jörg Henkel:
An adaptive data gathering strategy for target tracking in cluster-based wireless sensor networks. ISCC 2012: 468-474 - [c123]Juan Feng, Zhonglei Wang, Jörg Henkel:
An adaptive data gathering strategy for target tracking in cluster-based wireless sensor networks. ISCC 2012: 485-491 - [c122]Janmartin Jahn, Sebastian Kobbe, Santiago Pagani, Jian-Jia Chen, Jörg Henkel:
Work in Progress: Malleable Software Pipelines for Efficient Many-core System Utilization. MARC Symposium 2012: 30-33 - [c121]Muhammad Shafique, Bruno Zatt, Jörg Henkel:
A complexity reduction scheme with adaptive search direction and mode elimination for multiview video coding. PCS 2012: 105-108 - [c120]Michael Hübner, Diana Göhringer, Carsten Tradowsky, Jörg Henkel, Jürgen Becker:
Adaptive processor architecture - invited paper. ICSAMOS 2012: 244-251 - [c119]Chih-Ming Hsieh, Zhonglei Wang, Jörg Henkel:
ECO/ee: Energy-aware Collaborative Organic execution environment for wireless sensor networks. WCNC 2012: 1998-2002 - 2011
- [b3]Lars Bauer, Jörg Henkel:
Run-time Adaptation for Reconfigurable Embedded Processors. Springer 2011, ISBN 978-1-4419-7411-2, pp. I-XXI, 1-223 - [b2]Muhammad Shafique, Jörg Henkel:
Hardware/Software Architectures for Low-Power Embedded Multimedia Systems. Springer 2011, ISBN 978-1-4419-9691-6, pp. I-XXI, 1-223 - [c118]Lars Bauer, Muhammad Shafique, Jörg Henkel:
Concepts, architectures, and run-time systems for efficient and adaptive reconfigurable processors. AHS 2011: 80-87 - [c117]Peter Figuli, Michael Hübner, Romuald Girardey, Falco Bapp, Thomas Bruckschlögl, Florian Thoma, Jörg Henkel, Jürgen Becker:
A heterogeneous SoC architecture with embedded virtual FPGA cores and runtime Core Fusion. AHS 2011: 96-103 - [c116]Jörg Henkel, Lars Bauer, Joachim Becker, Oliver Bringmann, Uwe Brinkschulte, Samarjit Chakraborty, Michael Engel, Rolf Ernst, Hermann Härtig, Lars Hedrich, Andreas Herkersdorf, Rüdiger Kapitza, Daniel Lohmann, Peter Marwedel, Marco Platzner, Wolfgang Rosenstiel, Ulf Schlichtmann, Olaf Spinczyk, Mehdi Baradaran Tahoori, Jürgen Teich, Norbert Wehn, Hans-Joachim Wunderlich:
Design and architectures for dependable embedded systems. CODES+ISSS 2011: 69-78 - [c115]Sebastian Kobbe, Lars Bauer, Daniel Lohmann, Wolfgang Schröder-Preikschat, Jörg Henkel:
DistRM: distributed resource management for on-chip many-core systems. CODES+ISSS 2011: 119-128 - [c114]Thomas Ebi, David Kramer, Wolfgang Karl, Jörg Henkel:
Economic learning for thermal-aware power budgeting in many-core architectures. CODES+ISSS 2011: 189-196 - [c113]Semeen Rehman, Muhammad Shafique, Florian Kriebel, Jörg Henkel:
Reliable software for unreliable hardware: embedded code generation aiming at reliability. CODES+ISSS 2011: 237-246 - [c112]Waheed Ahmed, Muhammad Shafique, Lars Bauer, Jörg Henkel:
Adaptive resource management for simultaneous multitasking in mixed-grained reconfigurable multi-core processors. CODES+ISSS 2011: 365-374 - [c111]Nabeel Iqbal, Muhammad Adnan Siddique, Jörg Henkel:
SEAL: soft error aware low power scheduling by Monte Carlo state space under the influence of stochastic spatial and temporal dependencies. DAC 2011: 134-139 - [c110]Bruno Zatt, Muhammad Shafique, Felipe Sampaio, Luciano Volcan Agostini, Sergio Bampi, Jörg Henkel:
Run-time adaptive energy-aware motion and disparity estimation in multiview video coding. DAC 2011: 1026-1031 - [c109]Haris Javaid, Muhammad Shafique, Sri Parameswaran, Jörg Henkel:
Low-power adaptive pipelined MPSoCs for multimedia: an H.264 video encoder case study. DAC 2011: 1032-1037 - [c108]Fazal Hameed, Mohammad Abdullah Al Faruque, Jörg Henkel:
Dynamic thermal management in 3D multi-core architecture through run-time adaptation. DATE 2011: 299-304 - [c107]Janmartin Jahn, Mohammad Abdullah Al Faruque, Jörg Henkel:
CARAT: Context-aware runtime adaptive task migration for multi core architectures. DATE 2011: 515-520 - [c106]Muhammad Shafique, Lars Bauer, Waheed Ahmed, Jörg Henkel:
Minority-Game-based resource allocation for run-time reconfigurable multi-core processors. DATE 2011: 1261-1266 - [c105]Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding. DATE 2011: 1448-1453 - [c104]Waheed Ahmed, Muhammad Shafique, Lars Bauer, Jörg Henkel:
mRTS: Run-time system for reconfigurable processors with multi-grained instruction-set extensions. DATE 2011: 1554-1559 - [c103]Waheed Ahmed, Muhammad Shafique, Lars Bauer, Manuel Hammerich, Jörg Henkel, Jürgen Becker:
Run-Time Resource Allocation for Simultaneous Multi-tasking in Multi-core Reconfigurable Processors. FCCM 2011: 29-32 - [c102]Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
A low-power memory architecture with application-aware power management for motion & disparity estimation in Multiview Video Coding. ICCAD 2011: 40-47 - [c101]Haris Javaid, Muhammad Shafique, Jörg Henkel, Sri Parameswaran:
System-level application-aware dynamic power management in adaptive pipelined MPSoCs for multimedia. ICCAD 2011: 616-623 - [c100]Muhammad Shafique, Adnan Orcun Tüfek, Jörg Henkel:
A high-throughput parallel hardware architecture for H.264/AVC CAVLC encoding. ICIP 2011: 393-396 - [c99]Semeen Rehman, Muhammad Shafique, Florian Kriebel, Jörg Henkel:
Revc: Computationally Reliable Video Coding on unreliable hardware platforms: A case study on error-tolerant H.264/AVC CAVLC entropy coding. ICIP 2011: 397-400 - [c98]Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
A multi-level dynamic complexity reduction scheme for multiview video coding. ICIP 2011: 749-752 - [c97]M. Sammer Srouji, Zhonglei Wang, Jörg Henkel:
RDTS: A Reliable Erasure-Coding Based Data Transfer Scheme for Wireless Sensor Networks. ICPADS 2011: 481-488 - [c96]Waqaas Munawar, Janmartin Jahn, Artiom Aleinikov, Jian-Jia Chen, Jörg Henkel:
An Empirical Feedback Provider for Multi Core Schedulers. MARC Symposium 2011: 69-70 - [c95]Jörg Henkel:
Dependable Embedded Systems - Introduction and overview of the DFG SPP-1500. MBMV 2011: 49-49 - [c94]Thomas Ebi, Holm Rauchfuss, Andreas Herkersdorf, Jörg Henkel:
Agent-Based Thermal Management Using Real-Time I/O Communication Relocation for 3D Many-Cores. PATMOS 2011: 112-121 - [c93]Michael Hübner, Carsten Tradowsky, Diana Göhringer, Lars Braun, Florian Thoma, Jörg Henkel, Jürgen Becker:
Dynamic Processor Reconfiguration. ReConFig 2011: 123-128 - [c92]Hussam Amrouch, Jörg Henkel:
Self-Immunity Technique to Improve Register File Integrity Against Soft Errors. VLSI Design 2011: 189-194 - [p4]Thomas Ebi, David Kramer, Christian Schuck, Alexander von Renteln, Jürgen Becker, Uwe Brinkschulte, Jörg Henkel, Wolfgang Karl:
DodOrg - A Self-adaptive Organic Many-core Architecture. Organic Computing 2011: 353-368 - [p3]Thomas Ebi, Janmartin Jahn, Jörg Henkel:
Agent-Based Thermal Management for Multi-core Architectures. Organic Computing 2011: 587-588 - [p2]Jürgen Teich, Jörg Henkel, Andreas Herkersdorf, Doris Schmitt-Landsiedel, Wolfgang Schröder-Preikschat, Gregor Snelting:
Invasive Computing: An Overview. Multiprocessor System-on-Chip 2011: 241-268 - 2010
- [j31]Jörg Henkel, Sri Parameswaran:
CASES 2009 guest editor's introduction. Des. Autom. Embed. Syst. 14(3): 285-286 (2010) - [j30]Mohammad Abdullah Al Faruque, Janmartin Jahn, Jörg Henkel:
Runtime Thermal Management Using Software Agents for Multi- and Many-Core Architectures. IEEE Des. Test Comput. 27(6): 58-68 (2010) - [j29]Gene Frantz, Jörg Henkel, Jan M. Rabaey, Todd Schneider, Marilyn Wolf, Umit Batur:
Ultra-Low Power Signal Processing [DSP Forum]. IEEE Signal Process. Mag. 27(2): 149-154 (2010) - [j28]Naehyuck Chang, Jörg Henkel:
Call for papers ACM transactions on design automation of electronic systems (TODAES) special section on low-power electronics and design. ACM Trans. Design Autom. Electr. Syst. 15(2): 20:1-20:2 (2010) - [j27]Talal Bonny, Jörg Henkel:
Huffman-based code compression techniques for embedded processors. ACM Trans. Design Autom. Electr. Syst. 15(4): 31:1-31:37 (2010) - [j26]Naehyuck Chang, Jörg Henkel:
Guest Editorial: Current Trends in Low-Power Design. ACM Trans. Design Autom. Electr. Syst. 16(1): 1:1-1:8 (2010) - [j25]Muhammad Shafique, Lars Bauer, Jörg Henkel:
Optimizing the H.264/AVC Video Encoder Application Structure for Reconfigurable and Application-Specific Platforms. J. Signal Process. Syst. 60(2): 183-210 (2010) - [c91]Thomas Ebi, Mohammad Abdullah Al Faruque, Jörg Henkel:
NeuroNoC: neural network inspired runtime adaptation for an on-chip communication architecture. CODES+ISSS 2010: 223-230 - [c90]Ralf König, Lars Bauer, Timo Stripf, Muhammad Shafique, Waheed Ahmed, Jürgen Becker, Jörg Henkel:
KAHRISMA: A novel Hypermorphic Reconfigurable-Instruction-Set Multi-grained-Array architecture. DATE 2010: 819-824 - [c89]Nabeel Iqbal, Muhammad Adnan Siddique, Jörg Henkel:
RMOT: Recursion in model order for task execution time estimation in a software pipeline. DATE 2010: 953-956 - [c88]Nabeel Iqbal, Muhammad Adnan Siddique, Jörg Henkel:
DAGS: Distribution agnostic sequential Monte Carlo scheme for task execution time estimation. DATE 2010: 1645-1648 - [c87]Muhammad Shafique, Bastian Molkenthin, Jörg Henkel:
An HVS-based Adaptive Computational Complexity Reduction Scheme for H.264/AVC video encoder using Prognostic Early Mode Exclusion. DATE 2010: 1713-1718 - [c86]Muhammad Shafique, Lars Bauer, Jörg Henkel:
enBudget: A Run-Time Adaptive Predictive Energy-Budgeting scheme for energy-aware Motion Estimation in H.264/MPEG-4 AVC video encoder. DATE 2010: 1725-1730 - [c85]Naehyuck Chang, Jörg Henkel, Andy D. Pimentel, Petru Eles:
Message from the chairs. ESTIMedia 2010 - [c84]Nabeel Iqbal, Jörg Henkel:
SETS: Stochastic execution time scheduling for multicore systems by joint state space and Monte Carlo. ICCAD 2010: 123-130 - [c83]Muhammad Shafique, Lars Bauer, Jörg Henkel:
Selective instruction set muting for energy-aware adaptive processors. ICCAD 2010: 353-360 - [c82]Bruno Zatt, Muhammad Shafique, Sergio Bampi, Jörg Henkel:
An adaptive early skip mode decision scheme for multiview video coding. PCS 2010: 42-45 - [c81]Muhammad Shafique, Bruno Zatt, Sergio Bampi, Jörg Henkel:
Power-aware complexity-scalable multiview video coding for mobile devices. PCS 2010: 350-353
2000 – 2009
- 2009
- [c80]Lars Bauer, Muhammad Shafique, Jörg Henkel:
MinDeg: a performance-guided replacement policy for run-time reconfigurable accelerators. CODES+ISSS 2009: 335-342 - [c79]Talal Bonny, Jörg Henkel:
LICT: left-uncompressed instructions compression technique to improve the decoding performance of VLIW processors. DAC 2009: 903-906 - [c78]Mohammad Abdullah Al Faruque, Thomas Ebi, Jörg Henkel:
Configurable links for runtime adaptive on-chip communication. DATE 2009: 256-261 - [c77]Lars Bauer, Muhammad Shafique, Jörg Henkel:
Cross-architectural design space exploration tool for reconfigurable processors. DATE 2009: 958-963 - [c76]Muhammad Shafique, Lars Bauer, Jörg Henkel:
A parallel approach for high performance hardware design of intra prediction in H.264/AVC Video Codec. DATE 2009: 1434-1439 - [c75]Nabeel Iqbal, Jörg Henkel:
Efficient constant-time entropy decoding for H.264. DATE 2009: 1440-1445 - [c74]Lars Bauer, Muhammad Shafique, Jörg Henkel:
RISPP: A run-time adaptive reconfigurable embedded processor. FPL 2009: 725-726 - [c73]Muhammad Shafique, Lars Bauer, Jörg Henkel:
REMiS: Run-time energy minimization scheme in a reconfigurable processor with dynamic power-gated instruction set. ICCAD 2009: 55-62 - [c72]Thomas Ebi, Mohammad Abdullah Al Faruque, Jörg Henkel:
TAPE: Thermal-aware agent-based power econom multi/many-core architectures. ICCAD 2009: 302-309 - [c71]Muhammad Shafique, Bastian Molkenthin, Jörg Henkel:
Non-linear rate control for H.264/AVC video encoder with multiple picture types using image-statistics and motion-based Macroblock Prioritization. ICIP 2009: 3429-3432 - [c70]Jörg Henkel, Vijaykrishnan Narayanan, Sri Parameswaran, Roshan G. Ragel:
Security and Dependability of Embedded Systems: A Computer Architects' Perspective. VLSI Design 2009: 30-32 - [e6]Jörg Henkel, Sri Parameswaran:
Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2009, Grenoble, France, October 11-16, 2009. ACM 2009 [contents] - [e5]Jörg Henkel, Ali Keshavarzi, Naehyuck Chang, Tahir Ghani:
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009. ACM 2009, ISBN 978-1-60558-684-7 [contents] - 2008
- [j24]Dimitrios N. Serpanos, Jörg Henkel:
Dependability and Security Will Change Embedded Computing. Computer 41(1): 103-105 (2008) - [j23]Mohammad Abdullah Al Faruque, Jörg Henkel:
QoS-supported On-chip Communication for Multi-processors. Int. J. Parallel Program. 36(1): 114-139 (2008) - [j22]Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel:
A Flexible Framework for Communication Evaluation in SoC Design. Int. J. Parallel Program. 36(5): 457-477 (2008) - [j21]Diana Marculescu, Jörg Henkel:
Guest Editorial Special Section on Low-Power Electronics and Design. IEEE Trans. Very Large Scale Integr. Syst. 16(6): 609-610 (2008) - [j20]Lars Bauer, Muhammad Shafique, Jörg Henkel:
Efficient Resource Utilization for an Extensible Processor Through Dynamic Instruction Set Adaptation. IEEE Trans. Very Large Scale Integr. Syst. 16(10): 1295-1308 (2008) - [j19]Talal Bonny, Jörg Henkel:
Efficient Code Compression for Embedded Processors. IEEE Trans. Very Large Scale Integr. Syst. 16(12): 1696-1707 (2008) - [c69]Dominic Hillenbrand, Jörg Henkel:
Block cache for embedded systems. ASP-DAC 2008: 322-327 - [c68]Lars Bauer, Muhammad Shafique, Jörg Henkel:
Run-time instruction set selection in a transmutable embedded processor. DAC 2008: 56-61 - [c67]Mohammad Abdullah Al Faruque, Rudolf Krist, Jörg Henkel:
ADAM: run-time agent-based distributed application mapping for on-chip communication. DAC 2008: 760-765 - [c66]Lars Bauer, Muhammad Shafique, Stephanie Kreutz, Jörg Henkel:
Run-time System for an Extensible Embedded Processor with Dynamic Instruction Set. DATE 2008: 752-757 - [c65]Talal Bonny, Jörg Henkel:
Instruction Re-encoding Facilitating Dense Embedded Code. DATE 2008: 770-775 - [c64]Mohammad Abdullah Al Faruque, Jörg Henkel:
Minimizing Virtual Channel Buffer for Routers in On-chip Communication Architectures. DATE 2008: 1238-1243 - [c63]Lars Bauer, Muhammad Shafique, Jörg Henkel:
A computation- and communication- infrastructure for modular special instructions in a dynamically reconfigurable processor. FPL 2008: 203-208 - [c62]Mohammad Abdullah Al Faruque, Thomas Ebi, Jörg Henkel:
ROAdNoC: runtime observability for an adaptive network on chip architecture. ICCAD 2008: 543-548 - [c61]Talal Bonny, Jörg Henkel:
FBT: filled buffer technique to reduce code size for VLIW processors. ICCAD 2008: 549-554 - [c60]Muhammad Shafique, Lars Bauer, Jörg Henkel:
3-tier dynamically adaptive power-aware motion estimator for h.264/AVC video encoding. ISLPED 2008: 147-152 - [e4]Vijaykrishnan Narayanan, C. P. Ravikumar, Jörg Henkel, Ali Keshavarzi, Vojin G. Oklobdzija, Barry M. Pangrle:
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008, Bangalore, India, August 11-13, 2008. ACM 2008, ISBN 978-1-60558-109-5 [contents] - 2007
- [c59]Mohammad Abdullah Al Faruque, Jörg Henkel:
Transaction Specific Virtual Channel Allocation in QoS Supported On-chip Communication. ASAP 2007: 48-53 - [c58]Talal Bonny, Jörg Henkel:
Instruction Splitting for Efficient Code Compression. DAC 2007: 646-651 - [c57]Lars Bauer, Muhammad Shafique, Simon Kramer, Jörg Henkel:
RISPP: Rotating Instruction Set Processing Platform. DAC 2007: 791-796 - [c56]Andhi Janapsatya, Aleksandar Ignjatovic, Sri Parameswaran, Jörg Henkel:
Instruction trace compression for rapid instruction cache simulation. DATE 2007: 803-808 - [c55]Talal Bonny, Jörg Henkel:
Efficient code density through look-up table compression. DATE 2007: 809-814 - [c54]Muhammad Shafique, Lars Bauer, Jörg Henkel:
An Optimized Application Architecture of the H.264 Video Encoder for Application Specific Platforms. ESTIMedia 2007: 119-124 - [c53]Mohammad Abdullah Al Faruque, Thomas Ebi, Jörg Henkel:
Run-time adaptive on-chip communication scheme. ICCAD 2007: 26-31 - [c52]Lars Bauer, Muhammad Shafique, Dirk Teufel, Jörg Henkel:
A Self-Adaptive Extensible Embedded Processor. SASO 2007: 344-350 - 2006
- [j18]Jiang Xu, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar:
A design methodology for application-specific networks-on-chip. ACM Trans. Embed. Comput. Syst. 5(2): 263-280 (2006) - [j17]Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel:
Distance-based recent use (DRU): an enhancement to instruction cache replacement policies for transition energy reduction. IEEE Trans. Very Large Scale Integr. Syst. 14(1): 69-80 (2006) - [c51]Jürgen Becker, Kurt Brändle, Uwe Brinkschulte, Jörg Henkel, Wolfgang Karl, Thorsten Köster, Michael Wenz, Heinz Wörn:
Digital On-Demand Computing Organism for Real-Time Systems. ARCS Workshops 2006: 230-245 - [c50]Mohammad Abdullah Al Faruque, Gereon Weiss, Jörg Henkel:
Bounded arbitration algorithm for QoS-supported on-chip communication. CODES+ISSS 2006: 76-81 - [c49]Talal Bonny, Jörg Henkel:
Using Lin-Kernighan algorithm for look-up table compression to improve code density. ACM Great Lakes Symposium on VLSI 2006: 259-265 - [c48]Haris Lekatsas, Jörg Henkel, Venkata Jakkula, Srimat T. Chakradhar:
Using Shiftable Content Addressable Memories to Double Memory Capacity on Embedded Systems. VLSI Design 2006: 639-644 - [e3]Wolfgang Nebel, Mircea R. Stan, Anand Raghunathan, Jörg Henkel, Diana Marculescu:
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006. ACM 2006, ISBN 1-59593-462-6 [contents] - 2005
- [j16]Tiehan Lv, Jiang Xu, Wayne H. Wolf, I. Burak Özer, Jörg Henkel, Srimat T. Chakradhar:
A Methodology for Architectural Design of Multimedia Multiprocessor SoCs. IEEE Des. Test Comput. 22(1): 18-26 (2005) - [j15]Shuvra S. Bhattacharyya, Jörg Henkel, Xiaobo Sharon Hu:
Hardware/software codesign for DSP (from the Guest Editor). IEEE Signal Process. Mag. 22(3): 11-12 (2005) - [j14]Sri Parameswaran, Jörg Henkel:
Instruction code mapping for performance increase and energy reduction in embedded computer systems. IEEE Trans. Very Large Scale Integr. Syst. 13(4): 498-502 (2005) - [j13]Haris Lekatsas, Jörg Henkel, Wayne H. Wolf:
Approximate arithmetic coding for bus transition reduction in low power designs. IEEE Trans. Very Large Scale Integr. Syst. 13(6): 696-707 (2005) - [c47]Newton Cheung, Sri Parameswaran, Jörg Henkel:
Battery-aware instruction generation for embedded processors. ASP-DAC 2005: 553-556 - [c46]Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel:
A flexible framework for communication evaluation in SoC design. ASP-DAC 2005: 956-959 - [c45]Jiang Xu, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar:
H.264 HDTV Decoder Using Application-Specific Networks-On-Chip. ICME 2005: 1508-1511 - [c44]Jiang Xu, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar:
A methodology for design, modeling, and analysis of networks-on-chip. ISCAS (2) 2005: 1778-1781 - [c43]Haris Lekatsas, Jörg Henkel, Venkata Jakkula, Srimat T. Chakradhar:
A Unified Architecture for Adaptive Compression of Data and Code on Embedded Systems. VLSI Design 2005: 117-123 - 2004
- [j12]Haris Lekatsas, Jörg Henkel, Srimat T. Chakradhar, Venkata Jakkula:
Cypress: Compression and Encryption of Data and Code for Embedded Multimedia Systems. IEEE Des. Test Comput. 21(5): 406-415 (2004) - [c42]Jiang Xu, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar, Tiehan Lv:
A Case Study in Networks-on-Chip Design for Embedded Video. DATE 2004: 770-777 - [c41]Newton Cheung, Sri Parameswaran, Jörg Henkel, Jeremy Chan:
MINCE: Matching INstructions Using Combinational Equivalence for Extensible Processor. DATE 2004: 1020-1027 - [c40]Radu Marculescu, Massoud Pedram, Jörg Henkel:
Distributed Multimedia System Design: A Holistic Perspective. DATE 2004: 1342-1349 - [c39]Newton Cheung, Sri Parameswaran, Jörg Henkel:
A quantitative study and estimation models for extensible instructions in embedded processors. ICCAD 2004: 183-189 - [c38]Jörg Henkel, Wayne H. Wolf, Srimat T. Chakradhar:
On-chip networks: A scalable, communication-centric embedded system design paradigm. VLSI Design 2004: 845- - 2003
- [j11]Jörg Henkel, Xiaobo Hu, Shuvra S. Bhattacharyya:
Guest Editors' Introduction: Taking on the Embedded System Design Challenge. Computer 36(4): 35-37 (2003) - [j10]Jörg Henkel:
Closing the SoC Design Gap. Computer 36(9): 119-121 (2003) - [j9]Fabrice Kordon, Jörg Henkel:
An Overview of Rapid System Prototyping Today. Des. Autom. Embed. Syst. 8(4): 275-282 (2003) - [j8]Tiehan Lv, Jörg Henkel, Haris Lekatsas, Wayne H. Wolf:
A dictionary-based en/decoding scheme for low-power data buses. IEEE Trans. Very Large Scale Integr. Syst. 11(5): 943-951 (2003) - [c37]Sri Parameswaran, Jörg Henkel, Haris Lekatsas:
Multi-parametric improvements for embedded systems using code-placement and address bus coding. ASP-DAC 2003: 15-21 - [c36]Praveen Kalla, Jörg Henkel, Xiaobo Sharon Hu:
SEA: fast power estimation for micro-architectures. ASP-DAC 2003: 600-605 - [c35]Haris Lekatsas, Jörg Henkel, Srimat T. Chakradhar, Venkata Jakkula, Murugan Sankaradass:
CoCo: a hardware/software platform for rapid prototyping of code compression technologies. DAC 2003: 306-311 - [c34]Tiehan Lv, Jörg Henkel, Haris Lekatsas, Wayne H. Wolf:
Enhancing Signal Integrity through a Low-Overhead Encoding Scheme on Address Buses. DATE 2003: 10542-10549 - [c33]Newton Cheung, Jörg Henkel, Sri Parameswaran:
Rapid Configuration and Instruction Selection for an ASIP: A Case Study. DATE 2003: 10802-10809 - [c32]Newton Cheung, Sri Parameswaran, Jörg Henkel:
INSIDE: INstruction Selection/Identification & Design Exploration for Extensible Processors. ICCAD 2003: 291-298 - [c31]Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel:
LRU-SEQ: A Novel Replacement Policy for Transition Energy Reduction in Instruction Caches. ICCAD 2003: 518-522 - [c30]Ramesh Chandra, Preeti Ranjan Panda, Jörg Henkel, Sri Parameswaran, Loganath Ramachandran:
Specification and Design of Multi-Million Gate SOCs. VLSI Design 2003: 18-19 - [p1]Newton Cheung, Jörg Henkel, Sri Parameswaran:
Rapid Configuration & Instruction Selection for an ASIP: A Case Study. Embedded Software for SoC 2003: 403-417 - 2002
- [j7]Tony Givargis, Frank Vahid, Jörg Henkel:
System-level exploration for Pareto-optimal configurations in parameterized system-on-a-chip. IEEE Trans. Very Large Scale Integr. Syst. 10(4): 416-422 (2002) - [j6]Jörg Henkel, Yanbing Li:
Avalanche: an environment for design space exploration and optimization of low-power embedded systems. IEEE Trans. Very Large Scale Integr. Syst. 10(4): 454-468 (2002) - [j5]Tony Givargis, Frank Vahid, Jörg Henkel:
Instruction-based system-level power evaluation of system-on-a-chip peripheral cores. IEEE Trans. Very Large Scale Integr. Syst. 10(6): 856-863 (2002) - [c29]Haris Lekatsas, Jörg Henkel, Venkata Jakkula:
1-cycle code decompression circuitry for performance increase of Xtensa-1040-based embedded systems. CICC 2002: 9-12 - [c28]Haris Lekatsas, Jörg Henkel, Venkata Jakkula:
Design of an one-cycle decompression hardware for performance increase in embedded systems. DAC 2002: 34-39 - [c27]Tin-Man Lee, Wayne H. Wolf, Jörg Henkel:
Dynamic Runtime Re-Scheduling Allowing Multiple Implementations of a Task for Platform-Based Designs. DATE 2002: 296-301 - [c26]Tiehan Lv, Wayne H. Wolf, Jörg Henkel, Haris Lekatsas:
An Adaptive Dictionary Encoding Scheme for SOC Data Buses. DATE 2002: 1059-1064 - [c25]Haris Lekatsas, Jörg Henkel:
ETAM++: Extended Transition Activity Measure for Low Power Address Bus Designs. ASP-DAC/VLSI Design 2002: 113-120 - [e2]Jörg Henkel, Xiaobo Sharon Hu, Rajesh Gupta, Sri Parameswaran:
Proceedings of the Tenth International Symposium on Hardware/Software Codesign, CODES 2002, Estes Park, Colorado, USA, May 6-8, 2002. ACM 2002, ISBN 1-58113-542-4 [contents] - 2001
- [j4]Jörg Henkel, Rolf Ernst:
An approach to automated hardware/software partitioning using a flexible granularity that is driven by high-level estimation techniques. IEEE Trans. Very Large Scale Integr. Syst. 9(2): 273-289 (2001) - [j3]Tony Givargis, Frank Vahid, Jörg Henkel:
Evaluating power consumption of parameterized cache and bus architectures in system-on-a-chip designs. IEEE Trans. Very Large Scale Integr. Syst. 9(4): 500-508 (2001) - [c24]Tony Givargis, Frank Vahid, Jörg Henkel:
Trace-driven system-level power evaluation of system-on-a-chip peripheral cores. ASP-DAC 2001: 306-312 - [c23]Jörg Henkel, Haris Lekatsas:
A2BC: Adaptive Address Bus Coding for Low Power Deep Sub-Micron Designs. DAC 2001: 744-749 - [c22]Tony Givargis, Frank Vahid, Jörg Henkel:
System-Level Exploration for Pareto-Optimal Configurations in Parameterized Systems-on-a-Chip. ICCAD 2001: 25-30 - [c21]Sri Parameswaran, Jörg Henkel:
I-CoPES: Fast Instruction Code Placement for Embedded Systems to Improve Performance and Energy Efficiency. ICCAD 2001: 635- - [c20]Haris Lekatsas, Jörg Henkel, Wayne H. Wolf:
Design and simulation of a pipelined decompression architecture for embedded systems. ISSS 2001: 63-68 - [e1]Jan Madsen, Jörg Henkel, Xiaobo Sharon Hu:
Proceedings of the Ninth International Symposium on Hardware/Software Codesign, CODES 2001, Copenhagen, Denmark, 2001. ACM 2001, ISBN 1-58113-364-2 [contents] - 2000
- [c19]Tony Givargis, Frank Vahid, Jörg Henkel:
A hybrid approach for core-based system-level power modeling. ASP-DAC 2000: 141-146 - [c18]Haris Lekatsas, Jörg Henkel, Wayne H. Wolf:
Code compression as a variable in hardware/software co-design. CODES 2000: 120-124 - [c17]Haris Lekatsas, Jörg Henkel, Wayne H. Wolf:
Code compression for low power embedded system design. DAC 2000: 294-299 - [c16]Jörg Henkel, Tony Givargis, Frank Vahid:
Fast Cache and Bus Power Estimation for Parameterized System-on-a-Chip Design. DATE 2000: 333-338 - [c15]Haris Lekatsas, Wayne H. Wolf, Jörg Henkel:
Arithmetic Coding for Low Power Embedded System Design. Data Compression Conference 2000: 430-439 - [c14]Haris Lekatsas, Jörg Henkel, Wayne H. Wolf:
A Decompression Architecture for Low Power Embedded Systems. ICCD 2000: 571-574 - [c13]Tony Givargis, Frank Vahid, Jörg Henkel:
Instruction-based System-level Power Evaluation of System-On-A-Chip Peripheral Cores. ISSS 2000: 163-171
1990 – 1999
- 1999
- [c12]Jörg Henkel:
A Low Power Hardware/Software Partitioning Approach for Core-Based Embedded Systems. DAC 1999: 122-127 - [c11]Jörg Henkel:
A Methodology for Minimizing Power Dissipation of Embedded Systems through Hardware/Software Partitioning. Great Lakes Symposium on VLSI 1999: 86- - [c10]Tony Givargis, Jörg Henkel, Frank Vahid:
Interface and cache power exploration for core-based embedded system design. ICCAD 1999: 270-273 - 1998
- [c9]Jörg Henkel, Rolf Ernst:
High-Level Estimation Techniques for Usage in Hardware/Software Co-Design. ASP-DAC 1998: 353-360 - [c8]Jörg Henkel, Yanbing Li:
Energy-conscious HW/SW-partitioning of embedded systems: a case study on an MPEG-2 encoder. CODES 1998: 23-27 - [c7]Yanbing Li, Jörg Henkel:
A Framework for Estimation and Minimizing Energy Dissipation of Embedded HW/SW Systems. DAC 1998: 188-193 - 1997
- [c6]Jörg Henkel, Rolf Ernst:
A Hardware/Software Partitioner Using a Dynamically Determined Granularity. DAC 1997: 691-696 - 1996
- [b1]Jörg Henkel:
Automatisierte Hardware-Software-Partitionierung im Entwurf integrierter Echtzeitsysteme. Braunschweig University of Technology, Germany, Shaker 1996, ISBN 978-3-8265-2038-9, pp. 1-220 - [j2]Rolf Ernst, Jörg Henkel, Thomas Benner, Wei Ye, Ulrich Holtmann, Dirk Herrmann, Michael Trawny:
The COSYMA environment for hardware/software cosynthesis of small embedded systems. Microprocess. Microsystems 20(3): 159-166 (1996) - [c5]Jörg Henkel, Rolf Ernst:
The Interplay of Run-Time Estimation and Granularity in HW/SW Partitioning. CODES 1996: 52-61 - 1995
- [c4]Jörg Henkel, Rolf Ernst:
A path-based technique for estimating hardware runtime in HW/SW-cosynthesis. ISSS 1995: 116-121 - 1994
- [c3]Dirk Herrmann, Jörg Henkel, Rolf Ernst:
An approach to the adaptation of estimated cost parameters in the COSYMA system. CODES 1994: 100-107 - [c2]Jörg Henkel, Rolf Ernst, Ulrich Holtmann, Thomas Benner:
Adaptation of partitioning and high-level synthesis in hardware/software co-synthesis. ICCAD 1994: 96-100 - 1993
- [j1]Rolf Ernst, Jörg Henkel, Thomas Benner:
Hardware-Software Cosynthesis for Microcontrollers. IEEE Des. Test Comput. 10(4): 64-75 (1993) - [c1]Wei Ye, Rolf Ernst, Thomas Benner, Jörg Henkel:
Fast Timing Analysis for Hardware-Software Co-Synthesis. ICCD 1993: 452-457
Coauthor Index
aka: Sridevan Parameswaran
aka: Sami Alsalamin
aka: Mehdi B. Tahoori
aka: Wayne H. Wolf
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-12-05 21:39 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint