{"status":"ok","message-type":"work","message-version":"1.0.0","message":{"indexed":{"date-parts":[[2024,9,20]],"date-time":"2024-09-20T16:49:33Z","timestamp":1726850973400},"reference-count":53,"publisher":"Association for Computing Machinery (ACM)","issue":"4","funder":[{"DOI":"10.13039\/501100000654","name":"Marie Curie","doi-asserted-by":"publisher","award":["898296"],"id":[{"id":"10.13039\/501100000654","id-type":"DOI","asserted-by":"publisher"}]}],"content-domain":{"domain":["dl.acm.org"],"crossmark-restriction":true},"short-container-title":["ACM Trans. Archit. Code Optim."],"published-print":{"date-parts":[[2021,12,31]]},"abstract":"Managing thermal imbalance in contemporary chip multi-processors (CMPs) is crucial in assuring functional correctness of modern mobile as well as server systems. Localized regions with high activity, e.g., register files, ALUs, FPUs, and so on, experience higher temperatures than the average across the chip and are commonly referred to as hotspots. Hotspots affect functional correctness of the underlying circuitry and a noticeable increase in leakage power, which in turn generates heat in a self-reinforced cycle. Techniques that reduce the severity of or completely eliminate hotspots can maintain functional correctness along with improving performance of CMPs. Conventional dynamic thermal management targets the cores to reduce hotspots but often ignores caches, which are known for their high leakage power consumption.<\/jats:p>\n \n This article presents\n WaFFLe<\/jats:italic>\n , an approach that targets the leakage power of the last-level cache (LLC) and hotspots occurring at the cores.\n WaFFLe<\/jats:italic>\n turns off LLC-ways to reduce leakage power and to generate on-chip thermal buffers. In addition, fine-grained DVFS is applied during long LLC miss induced stalls to reduce core temperature. Our results show that\n WaFFLe<\/jats:italic>\n reduces peak and average temperature of a 16-core based homogeneous tiled CMP with up to 8.4 \u05af C and 6.2 \u05af C, respectively, with an average performance degradation of only 2.5 %. We also show that\n WaFFLe<\/jats:italic>\n outperforms a state-of-the-art cache-based technique and a greedy DVFS policy.\n <\/jats:p>","DOI":"10.1145\/3471908","type":"journal-article","created":{"date-parts":[[2021,9,3]],"date-time":"2021-09-03T16:12:01Z","timestamp":1630685521000},"page":"1-25","update-policy":"http:\/\/dx.doi.org\/10.1145\/crossmark-policy","source":"Crossref","is-referenced-by-count":5,"title":["WaFFLe"],"prefix":"10.1145","volume":"18","author":[{"given":"Shounak","family":"Chakraborty","sequence":"first","affiliation":[{"name":"Department of Computer Science, Norwegian University of Science and Technology (NTNU), Glshaugen, Trondheim, Norway"}]},{"given":"Magnus","family":"Sj\u00e4lander","sequence":"additional","affiliation":[{"name":"Department of Computer Science, Norwegian University of Science and Technology (NTNU), Glshaugen, Trondheim, Norway"}]}],"member":"320","published-online":{"date-parts":[[2021,9,3]]},"reference":[{"key":"e_1_2_1_1_1","volume-title":"Intel xeon phi coprocessor datasheet. Retrieved on","year":"2021","unstructured":"2015. Intel xeon phi coprocessor datasheet. Retrieved on August 7, 2021 from https:\/\/www.intel.com\/content\/dam\/www\/public\/us\/en\/documents\/datasheets\/xeon-phi-coprocessor-datasheet.pdf. 2015. Intel xeon phi coprocessor datasheet. Retrieved on August 7, 2021 from https:\/\/www.intel.com\/content\/dam\/www\/public\/us\/en\/documents\/datasheets\/xeon-phi-coprocessor-datasheet.pdf."},{"key":"e_1_2_1_2_1","doi-asserted-by":"publisher","DOI":"10.3390\/computers7010003"},{"key":"e_1_2_1_3_1","volume-title":"Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture.","author":"Alian M.","unstructured":"M. Alian , A. H. M. O. Abulila , L. Jindal , D. Kim , and N. S. Kim . 2017. NCAP: Network-driven, packet context-aware power management for client-server architecture . In Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture. M. Alian, A. H. M. O. Abulila, L. Jindal, D. Kim, and N. S. Kim. 2017. NCAP: Network-driven, packet context-aware power management for client-server architecture. In Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture."},{"key":"e_1_2_1_4_1","doi-asserted-by":"publisher","DOI":"10.1145\/3194554.3194576"},{"key":"e_1_2_1_5_1","volume-title":"Proceedings of the 20th Symposium on Great Lakes Symposium on VLSI. 365\u2013368","author":"Ayoub R.","unstructured":"R. Ayoub and A. Orailoglu . 2010. Performance and energy efficient cache migration approach for thermal management in embedded systems . In Proceedings of the 20th Symposium on Great Lakes Symposium on VLSI. 365\u2013368 . R. Ayoub and A. Orailoglu. 2010. Performance and energy efficient cache migration approach for thermal management in embedded systems. In Proceedings of the 20th Symposium on Great Lakes Symposium on VLSI. 365\u2013368."},{"key":"e_1_2_1_6_1","doi-asserted-by":"publisher","DOI":"10.1145\/3126567"},{"key":"e_1_2_1_7_1","volume-title":"Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques. 72\u201381","author":"Bienia C.","unstructured":"C. Bienia , S. Kumar , J. P. Singh , and K. Li . 2008. The PARSEC benchmark suite: Characterization and architectural implications . In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques. 72\u201381 . C. Bienia, S. Kumar, J. P. Singh, and K. Li. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques. 72\u201381."},{"key":"e_1_2_1_8_1","doi-asserted-by":"publisher","DOI":"10.1145\/2024716.2024718"},{"key":"e_1_2_1_9_1","volume-title":"Proceedings of the 2014 IEEE Applied Power Electronics Conference and Exposition. 432\u2013439","author":"Burton E. A.","unstructured":"E. A. Burton , G. Schrom , F. Paillet , J. Douglas , W. J. Lambert , K. Radhakrishnan , and M. J. Hill . 2014. FIVR \u2014 fully integrated voltage regulators on 4th generation IntelR Core SoCs . In Proceedings of the 2014 IEEE Applied Power Electronics Conference and Exposition. 432\u2013439 . E. A. Burton, G. Schrom, F. Paillet, J. Douglas, W. J. Lambert, K. Radhakrishnan, and M. J. Hill. 2014. FIVR \u2014 fully integrated voltage regulators on 4th generation IntelR Core SoCs. In Proceedings of the 2014 IEEE Applied Power Electronics Conference and Exposition. 432\u2013439."},{"key":"e_1_2_1_10_1","volume-title":"Proceedings of the IFIP\/IEEE International Conference on Very Large Scale Integration. 1\u20136.","author":"Chakraborty S.","unstructured":"S. Chakraborty and H. K. Kapoor . 2016. Static energy reduction by performance linked dynamic cache resizing . In Proceedings of the IFIP\/IEEE International Conference on Very Large Scale Integration. 1\u20136. S. Chakraborty and H. K. Kapoor. 2016. Static energy reduction by performance linked dynamic cache resizing. In Proceedings of the IFIP\/IEEE International Conference on Very Large Scale Integration. 1\u20136."},{"key":"e_1_2_1_11_1","doi-asserted-by":"crossref","unstructured":"S. Chakraborty and H. K. Kapoor. 2017. Performance linked dynamic cache tuning: A static energy reduction approach in tiled CMPs. Microprocessors and Microsystems 52 C (2017) 221\u2013235. S. Chakraborty and H. K. Kapoor. 2017. Performance linked dynamic cache tuning: A static energy reduction approach in tiled CMPs. Microprocessors and Microsystems 52 C (2017) 221\u2013235.","DOI":"10.1016\/j.micpro.2017.06.012"},{"key":"e_1_2_1_12_1","doi-asserted-by":"publisher","DOI":"10.1109\/TSUSC.2018.2823542"},{"key":"e_1_2_1_13_1","doi-asserted-by":"publisher","DOI":"10.1145\/3339850"},{"key":"e_1_2_1_14_1","volume-title":"Proceedings of the 2008 Design, Automation and Test in Europe. 288\u2013293","author":"Chantem T.","unstructured":"T. Chantem , R. P. Dick , and X. S. Hu . 2008. Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs . In Proceedings of the 2008 Design, Automation and Test in Europe. 288\u2013293 . T. Chantem, R. P. Dick, and X. S. Hu. 2008. Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. In Proceedings of the 2008 Design, Automation and Test in Europe. 288\u2013293."},{"key":"e_1_2_1_15_1","volume-title":"Proceedings of the 33rd International Symposium on Computer Architecture.","author":"Donald J.","unstructured":"J. Donald and M. Martonosi . 2006. Techniques for multicore thermal management: Classification and new exploration . In Proceedings of the 33rd International Symposium on Computer Architecture. J. Donald and M. Martonosi. 2006. Techniques for multicore thermal management: Classification and new exploration. In Proceedings of the 33rd International Symposium on Computer Architecture."},{"key":"e_1_2_1_16_1","doi-asserted-by":"publisher","DOI":"10.1145\/1952998.1952999"},{"key":"e_1_2_1_17_1","volume-title":"Proceedings of the 10th Workshop on MEmory Performance: DEaling with Applications, Systems, and Architecture.","author":"Farahani M.","unstructured":"M. Farahani and A. Baniasadi . 2009. Temperature reduction analysis in sentry tag cache systems . In Proceedings of the 10th Workshop on MEmory Performance: DEaling with Applications, Systems, and Architecture. M. Farahani and A. Baniasadi. 2009. Temperature reduction analysis in sentry tag cache systems. In Proceedings of the 10th Workshop on MEmory Performance: DEaling with Applications, Systems, and Architecture."},{"key":"e_1_2_1_18_1","volume-title":"Proceedings of the 47th Design Automation Conference. 579\u2013584","author":"Ge Y.","unstructured":"Y. Ge , P. Malani , and Q. Qiu . 2010. Distributed task migration for thermal management in many-core systems . In Proceedings of the 47th Design Automation Conference. 579\u2013584 . Y. Ge, P. Malani, and Q. Qiu. 2010. Distributed task migration for thermal management in many-core systems. In Proceedings of the 47th Design Automation Conference. 579\u2013584."},{"key":"e_1_2_1_19_1","doi-asserted-by":"publisher","DOI":"10.1109\/TVLSI.2011.2162348"},{"key":"e_1_2_1_20_1","volume-title":"Advances in Computers","volume":"87","author":"Goel B.","unstructured":"B. Goel , S. A. McKee , and M. Sj\u00e4lander . 2012. Chapter two - techniques to measure, model, and manage power . Advances in Computers , Vol. 87 . Elsevier, 7\u201354. B. Goel, S. A. McKee, and M. Sj\u00e4lander. 2012. Chapter two - techniques to measure, model, and manage power. Advances in Computers, Vol. 87. Elsevier, 7\u201354."},{"key":"e_1_2_1_21_1","doi-asserted-by":"publisher","DOI":"10.1109\/TC.2012.213"},{"key":"e_1_2_1_22_1","volume-title":"Proceedings of the IEEE\/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.","author":"Hanumaiah V.","unstructured":"V. Hanumaiah , S. Vrudhula , and K. S. Chatha . 2009. Maximizing performance of thermally constrained multi-core processors by dynamic voltage and frequency control . In Proceedings of the IEEE\/ACM International Conference on Computer-Aided Design - Digest of Technical Papers. V. Hanumaiah, S. Vrudhula, and K. S. Chatha. 2009. Maximizing performance of thermally constrained multi-core processors by dynamic voltage and frequency control. In Proceedings of the IEEE\/ACM International Conference on Computer-Aided Design - Digest of Technical Papers."},{"key":"e_1_2_1_23_1","doi-asserted-by":"publisher","DOI":"10.1109\/TCAD.2011.2161308"},{"key":"e_1_2_1_24_1","volume-title":"Proceedings of the 2019 Design, Automation Test in Europe Conference Exhibition.","author":"Henkel J.","unstructured":"J. Henkel , H. Khdr , and M. Rapp . 2019. Smart thermal management for heterogeneous multicores . In Proceedings of the 2019 Design, Automation Test in Europe Conference Exhibition. J. Henkel, H. Khdr, and M. Rapp. 2019. Smart thermal management for heterogeneous multicores. In Proceedings of the 2019 Design, Automation Test in Europe Conference Exhibition."},{"key":"e_1_2_1_25_1","volume-title":"Proceedings of the 28th Annual International Symposium on Computer Architecture. 240\u2013251","author":"Kaxiras S.","unstructured":"S. Kaxiras , Zhigang Hu , and M. Martonosi . 2001. Cache decay: Exploiting generational behavior to reduce cache leakage power . In Proceedings of the 28th Annual International Symposium on Computer Architecture. 240\u2013251 . S. Kaxiras, Zhigang Hu, and M. Martonosi. 2001. Cache decay: Exploiting generational behavior to reduce cache leakage power. In Proceedings of the 28th Annual International Symposium on Computer Architecture. 240\u2013251."},{"key":"e_1_2_1_26_1","volume-title":"Proceedings of the 2017 ACM\/IEEE 44th Annual International Symposium on Computer Architecture. 120\u2013132","author":"Khatamifard S.","unstructured":"S. Khatamifard , L. Wang , W. Yu , S. Kose , and U. R. Karpuzcu . 2017. ThermoGater: Thermally-aware on-chip voltage regulation . In Proceedings of the 2017 ACM\/IEEE 44th Annual International Symposium on Computer Architecture. 120\u2013132 . S. Khatamifard, L. Wang, W. Yu, S. Kose, and U. R. Karpuzcu. 2017. ThermoGater: Thermally-aware on-chip voltage regulation. In Proceedings of the 2017 ACM\/IEEE 44th Annual International Symposium on Computer Architecture. 120\u2013132."},{"key":"e_1_2_1_27_1","doi-asserted-by":"publisher","DOI":"10.1109\/TC.2020.2970062"},{"key":"e_1_2_1_28_1","doi-asserted-by":"publisher","DOI":"10.1145\/2187671.2187675"},{"key":"e_1_2_1_29_1","volume-title":"Proceedings of the 38th Annual IEEE\/ACM International Symposium on Microarchitecture.","author":"Ku Ja Chun","unstructured":"Ja Chun Ku , S. Ozdemir , G. Memik , and Y. Ismail . 2005. Thermal management of on-chip caches through power density minimization . In Proceedings of the 38th Annual IEEE\/ACM International Symposium on Microarchitecture. Ja Chun Ku, S. Ozdemir, G. Memik, and Y. Ismail. 2005. Thermal management of on-chip caches through power density minimization. In Proceedings of the 38th Annual IEEE\/ACM International Symposium on Microarchitecture."},{"key":"e_1_2_1_30_1","doi-asserted-by":"publisher","DOI":"10.1109\/TVLSI.2010.2092795"},{"key":"e_1_2_1_31_1","doi-asserted-by":"publisher","DOI":"10.1109\/TPDS.2014.2313338"},{"key":"e_1_2_1_32_1","volume-title":"Proceedings of the 42nd Annual IEEE\/ACM International Symposium on Microarchitecture. 469\u2013480","author":"Li S.","unstructured":"S. Li , J. H. Ahn , R. D. Strong , J. B. Brockman , D. M. Tullsen , and N. P. Jouppi . 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures . In Proceedings of the 42nd Annual IEEE\/ACM International Symposium on Microarchitecture. 469\u2013480 . S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 42nd Annual IEEE\/ACM International Symposium on Microarchitecture. 469\u2013480."},{"key":"e_1_2_1_33_1","volume-title":"Proceedings of the Second Workshop on Architecture and Multi-Core Applications.","author":"Mandke A.","unstructured":"A. Mandke , B. Amrutur , and Y. N. Srikant . 2011. Adaptive power optimization of on-chip SNUCA cache on tiled chip multicore architecture using remap policy . In Proceedings of the Second Workshop on Architecture and Multi-Core Applications. A. Mandke, B. Amrutur, and Y. N. Srikant. 2011. Adaptive power optimization of on-chip SNUCA cache on tiled chip multicore architecture using remap policy. In Proceedings of the Second Workshop on Architecture and Multi-Core Applications."},{"key":"e_1_2_1_34_1","volume-title":"Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture. 644\u2013656","author":"Manivannan M.","unstructured":"M. Manivannan , V. Papaefstathiou , M. Pericas , and P. Stenstrom . 2016. RADAR: Runtime-assisted dead region management for last-level caches . In Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture. 644\u2013656 . M. Manivannan, V. Papaefstathiou, M. Pericas, and P. Stenstrom. 2016. RADAR: Runtime-assisted dead region management for last-level caches. In Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture. 644\u2013656."},{"key":"e_1_2_1_35_1","doi-asserted-by":"publisher","DOI":"10.1109\/LCA.2016.2606593"},{"key":"e_1_2_1_36_1","doi-asserted-by":"publisher","DOI":"10.1109\/TVLSI.2014.2333741"},{"key":"e_1_2_1_37_1","doi-asserted-by":"publisher","DOI":"10.1016\/j.suscom.2013.11.001"},{"key":"e_1_2_1_38_1","volume-title":"Proceedings of the 2013 IEEE 31st International Conference on Computer Design. 100\u2013107","author":"Mittal S.","unstructured":"S. Mittal , Z. Zhang , and J. S. Vetter . 2013. FlexiWay: A cache energy saving technique using fine-grained cache reconfiguration . In Proceedings of the 2013 IEEE 31st International Conference on Computer Design. 100\u2013107 . S. Mittal, Z. Zhang, and J. S. Vetter. 2013. FlexiWay: A cache energy saving technique using fine-grained cache reconfiguration. In Proceedings of the 2013 IEEE 31st International Conference on Computer Design. 100\u2013107."},{"key":"e_1_2_1_39_1","volume-title":"Proceedings of the 2008 IEEE Computer Society Annual Symposium on VLSI. IEEE, 363\u2013368","author":"Noori H.","unstructured":"H. Noori , M. Goudarzi , K. Inoue , and K. Murakami . 2008. Improving energy efficiency of configurable caches via temperature-aware configuration selection . In Proceedings of the 2008 IEEE Computer Society Annual Symposium on VLSI. IEEE, 363\u2013368 . H. Noori, M. Goudarzi, K. Inoue, and K. Murakami. 2008. Improving energy efficiency of configurable caches via temperature-aware configuration selection. In Proceedings of the 2008 IEEE Computer Society Annual Symposium on VLSI. IEEE, 363\u2013368."},{"key":"e_1_2_1_40_1","volume-title":"Proceedings of the 2014 International Conference on Hardware\/Software Codesign and System Synthesis.","author":"Pagani S.","unstructured":"S. Pagani , H. Khdr , W. Munawar , J. Chen , M. Shafique , M. Li , and J. Henkel . 2014. TSP: Thermal safe power - efficient power budgeting for many-core systems in dark silicon . In Proceedings of the 2014 International Conference on Hardware\/Software Codesign and System Synthesis. S. Pagani, H. Khdr, W. Munawar, J. Chen, M. Shafique, M. Li, and J. Henkel. 2014. TSP: Thermal safe power - efficient power budgeting for many-core systems in dark silicon. In Proceedings of the 2014 International Conference on Hardware\/Software Codesign and System Synthesis."},{"key":"e_1_2_1_41_1","volume-title":"Proceedings of the 10th ACM International Systems and Storage Conference.","author":"Petrides P.","unstructured":"P. Petrides and P. Trancoso . 2017. Heterogeneous- and NUMA-aware scheduling for many-core architectures . In Proceedings of the 10th ACM International Systems and Storage Conference. P. Petrides and P. Trancoso. 2017. Heterogeneous- and NUMA-aware scheduling for many-core architectures. In Proceedings of the 10th ACM International Systems and Storage Conference."},{"key":"e_1_2_1_42_1","doi-asserted-by":"publisher","DOI":"10.1109\/LPE.2000.876763"},{"key":"e_1_2_1_43_1","volume-title":"Proceedings of the 2006 International Symposium on Low Power Electronics and Design. 292\u2013297","author":"Rao R.","unstructured":"R. Rao , S. Vrudhula , C. Chakrabarti , and N. Chang . 2006. An optimal analytical solution for processor speed control with thermal constraints . In Proceedings of the 2006 International Symposium on Low Power Electronics and Design. 292\u2013297 . R. Rao, S. Vrudhula, C. Chakrabarti, and N. Chang. 2006. An optimal analytical solution for processor speed control with thermal constraints. In Proceedings of the 2006 International Symposium on Low Power Electronics and Design. 292\u2013297."},{"key":"e_1_2_1_44_1","volume-title":"Proceedings of the IEEE International Conference on Embedded and Real-Time Computing Systems and Applications. 41\u201350","author":"Saha S.","unstructured":"S. Saha , Y. Lu , and J. S. Deogun . 2012. Thermal-constrained energy-aware partitioning for heterogeneous multi-core multiprocessor real-time systems . In Proceedings of the IEEE International Conference on Embedded and Real-Time Computing Systems and Applications. 41\u201350 . S. Saha, Y. Lu, and J. S. Deogun. 2012. Thermal-constrained energy-aware partitioning for heterogeneous multi-core multiprocessor real-time systems. In Proceedings of the IEEE International Conference on Embedded and Real-Time Computing Systems and Applications. 41\u201350."},{"key":"e_1_2_1_45_1","doi-asserted-by":"publisher","DOI":"10.1109\/TCAD.2013.2247656"},{"key":"e_1_2_1_46_1","doi-asserted-by":"crossref","unstructured":"M. Sj\u00e4lander M. Martonosi and S. Kaxiras. 2014. Power-Efficient Computer Architectures: Recent Advances. Synthesis Lectures on Computer Architecture. Morgan & Claypool. M. Sj\u00e4lander M. Martonosi and S. Kaxiras. 2014. Power-Efficient Computer Architectures: Recent Advances. Synthesis Lectures on Computer Architecture. Morgan & Claypool.","DOI":"10.1007\/978-3-031-01745-2"},{"key":"e_1_2_1_47_1","volume-title":"Proceedings of the Panhellenic Conference on Advances in Informatics","volume":"3746","author":"Stavrou K.","unstructured":"K. Stavrou and P. Trancoso . 2005. TSIC: Thermal scheduling simulator for chip multiprocessors . In Proceedings of the Panhellenic Conference on Advances in Informatics , Vol. 3746 . Springer-Verlag. K. Stavrou and P. Trancoso. 2005. TSIC: Thermal scheduling simulator for chip multiprocessors. In Proceedings of the Panhellenic Conference on Advances in Informatics, Vol. 3746. Springer-Verlag."},{"key":"e_1_2_1_48_1","volume-title":"Proceedings of the 2009 ACM\/IEEE International Symposium on Low Power Electronics and Design.","author":"Sun G.","unstructured":"G. Sun , X. Wu , and Y. Xie . 2009. Exploration of 3D stacked L2 cache design for high performance and efficient thermal control . In Proceedings of the 2009 ACM\/IEEE International Symposium on Low Power Electronics and Design. G. Sun, X. Wu, and Y. Xie. 2009. Exploration of 3D stacked L2 cache design for high performance and efficient thermal control. In Proceedings of the 2009 ACM\/IEEE International Symposium on Low Power Electronics and Design."},{"key":"e_1_2_1_49_1","doi-asserted-by":"publisher","DOI":"10.1145\/2159542.2159545"},{"key":"e_1_2_1_50_1","volume-title":"Proceedings of the 2008 IEEE 14th International Symposium on High Performance Computer Architecture. 123\u2013134","author":"Kim W.","unstructured":"W. Kim , M. S. Gupta , G. Wei , and D. Brooks . 2008. System level analysis of fast, per-core DVFS using on-chip switching regulators . In Proceedings of the 2008 IEEE 14th International Symposium on High Performance Computer Architecture. 123\u2013134 . W. Kim, M. S. Gupta, G. Wei, and D. Brooks. 2008. System level analysis of fast, per-core DVFS using on-chip switching regulators. In Proceedings of the 2008 IEEE 14th International Symposium on High Performance Computer Architecture. 123\u2013134."},{"key":"e_1_2_1_51_1","doi-asserted-by":"publisher","DOI":"10.1145\/2480741.2480749"},{"key":"e_1_2_1_52_1","volume-title":"Tech. Report CS-2015-04. University of Virginia","author":"Zhang R.","year":"2015","unstructured":"R. Zhang , M. R. Stan , and K. Skadron . 2015 . HotSpot 6.0: Validation, acceleration, and extension.University of Virginia , Tech. Report CS-2015-04. University of Virginia , Charlottesville, VA . R. Zhang, M. R. Stan, and K. Skadron. 2015. HotSpot 6.0: Validation, acceleration, and extension.University of Virginia, Tech. Report CS-2015-04. University of Virginia, Charlottesville, VA."},{"key":"e_1_2_1_53_1","doi-asserted-by":"publisher","DOI":"10.1109\/TCAD.2015.2501286"}],"container-title":["ACM Transactions on Architecture and Code Optimization"],"original-title":[],"language":"en","link":[{"URL":"https:\/\/dl.acm.org\/doi\/pdf\/10.1145\/3471908","content-type":"unspecified","content-version":"vor","intended-application":"similarity-checking"}],"deposited":{"date-parts":[[2023,1,1]],"date-time":"2023-01-01T21:49:37Z","timestamp":1672609777000},"score":1,"resource":{"primary":{"URL":"https:\/\/dl.acm.org\/doi\/10.1145\/3471908"}},"subtitle":["Gated Cache-<underline>Wa<\/underline>ys with Per-Core <underline>F<\/underline>ine-Grained DV<underline>F<\/underline>S for Reduced On-Chip Temperature and <underline>Le<\/underline>akage Consumption"],"short-title":[],"issued":{"date-parts":[[2021,9,3]]},"references-count":53,"journal-issue":{"issue":"4","published-print":{"date-parts":[[2021,12,31]]}},"alternative-id":["10.1145\/3471908"],"URL":"https:\/\/doi.org\/10.1145\/3471908","relation":{},"ISSN":["1544-3566","1544-3973"],"issn-type":[{"value":"1544-3566","type":"print"},{"value":"1544-3973","type":"electronic"}],"subject":[],"published":{"date-parts":[[2021,9,3]]},"assertion":[{"value":"2020-11-01","order":0,"name":"received","label":"Received","group":{"name":"publication_history","label":"Publication History"}},{"value":"2021-06-01","order":1,"name":"accepted","label":"Accepted","group":{"name":"publication_history","label":"Publication History"}},{"value":"2021-09-03","order":2,"name":"published","label":"Published","group":{"name":"publication_history","label":"Publication History"}}]}}