{"status":"ok","message-type":"work","message-version":"1.0.0","message":{"indexed":{"date-parts":[[2024,8,1]],"date-time":"2024-08-01T15:40:46Z","timestamp":1722526846257},"reference-count":37,"publisher":"Elsevier BV","license":[{"start":{"date-parts":[[2024,9,1]],"date-time":"2024-09-01T00:00:00Z","timestamp":1725148800000},"content-version":"tdm","delay-in-days":0,"URL":"https:\/\/www.elsevier.com\/tdm\/userlicense\/1.0\/"},{"start":{"date-parts":[[2024,9,1]],"date-time":"2024-09-01T00:00:00Z","timestamp":1725148800000},"content-version":"tdm","delay-in-days":0,"URL":"https:\/\/www.elsevier.com\/legal\/tdmrep-license"},{"start":{"date-parts":[[2024,9,1]],"date-time":"2024-09-01T00:00:00Z","timestamp":1725148800000},"content-version":"stm-asf","delay-in-days":0,"URL":"https:\/\/doi.org\/10.15223\/policy-017"},{"start":{"date-parts":[[2024,9,1]],"date-time":"2024-09-01T00:00:00Z","timestamp":1725148800000},"content-version":"stm-asf","delay-in-days":0,"URL":"https:\/\/doi.org\/10.15223\/policy-037"},{"start":{"date-parts":[[2024,9,1]],"date-time":"2024-09-01T00:00:00Z","timestamp":1725148800000},"content-version":"stm-asf","delay-in-days":0,"URL":"https:\/\/doi.org\/10.15223\/policy-012"},{"start":{"date-parts":[[2024,9,1]],"date-time":"2024-09-01T00:00:00Z","timestamp":1725148800000},"content-version":"stm-asf","delay-in-days":0,"URL":"https:\/\/doi.org\/10.15223\/policy-029"},{"start":{"date-parts":[[2024,9,1]],"date-time":"2024-09-01T00:00:00Z","timestamp":1725148800000},"content-version":"stm-asf","delay-in-days":0,"URL":"https:\/\/doi.org\/10.15223\/policy-004"}],"content-domain":{"domain":["elsevier.com","sciencedirect.com"],"crossmark-restriction":true},"short-container-title":["Integration"],"published-print":{"date-parts":[[2024,9]]},"DOI":"10.1016\/j.vlsi.2024.102225","type":"journal-article","created":{"date-parts":[[2024,6,5]],"date-time":"2024-06-05T08:28:41Z","timestamp":1717576121000},"page":"102225","update-policy":"http:\/\/dx.doi.org\/10.1016\/elsevier_cm_policy","source":"Crossref","is-referenced-by-count":0,"special_numbering":"C","title":["A 10T SRAM architecture with 40\u00a0% enhanced throughput for IMC applications benchmarked with CIFAR-10 dataset"],"prefix":"10.1016","volume":"98","author":[{"given":"Ravi S.","family":"Siddanath","sequence":"first","affiliation":[]},{"given":"Mohit","family":"Gupta","sequence":"additional","affiliation":[]},{"given":"Chaitanya","family":"Joshi","sequence":"additional","affiliation":[]},{"given":"Manish","family":"Goswami","sequence":"additional","affiliation":[]},{"ORCID":"http:\/\/orcid.org\/0000-0002-2683-2230","authenticated-orcid":false,"given":"Kavindra","family":"Kandpal","sequence":"additional","affiliation":[]}],"member":"78","reference":[{"issue":"2","key":"10.1016\/j.vlsi.2024.102225_bib1","doi-asserted-by":"crossref","first-page":"230","DOI":"10.1103\/PhysRev.74.230","article-title":"The transistor, a semi-conductor triode","volume":"74","author":"Bardeen","year":"1948","journal-title":"Phys. Rev."},{"year":"2015","series-title":"CMOS VLSI Design: a Circuits and Systems Perspective","author":"Weste","key":"10.1016\/j.vlsi.2024.102225_bib2"},{"key":"10.1016\/j.vlsi.2024.102225_bib3","series-title":"2018 ACM\/IEEE 45Th Annual International Symposium on Computer Architecture (ISCA)","first-page":"383","article-title":"Neural cache: bit-serial in-cache acceleration of deep neural networks","author":"Eckert","year":"2018"},{"issue":"1","key":"10.1016\/j.vlsi.2024.102225_bib4","doi-asserted-by":"crossref","first-page":"20","DOI":"10.1145\/216585.216588","article-title":"Hitting the memory wall: implications of the obvious","volume":"23","author":"Wulf","year":"1995","journal-title":"ACM SIGARCH Comput. Archit. news"},{"issue":"1","key":"10.1016\/j.vlsi.2024.102225_bib5","doi-asserted-by":"crossref","first-page":"76","DOI":"10.1109\/JSSC.2019.2939682","article-title":"A 28-nm compute SRAM with bit-serial logic\/arithmetic operations for programmable in-memory vector computing","volume":"55","author":"Wang","year":"2019","journal-title":"IEEE J. Solid-State Circuits"},{"year":"2011","series-title":"Computer Architecture: a Quantitative Approach","author":"Hennessy","key":"10.1016\/j.vlsi.2024.102225_bib6"},{"key":"10.1016\/j.vlsi.2024.102225_bib7","doi-asserted-by":"crossref","first-page":"214","DOI":"10.1109\/TNANO.2023.3271308","article-title":"A novel cascadable TCAM using RRAM and current race scheme for high-speed energy-efficient applications","author":"Pan","year":"2023","journal-title":"IEEE Trans. Nanotechnol22"},{"key":"10.1016\/j.vlsi.2024.102225_bib8","doi-asserted-by":"crossref","first-page":"28","DOI":"10.1016\/j.micpro.2019.01.009","article-title":"Processing data where it makes sense: enabling in-memory computation","volume":"67","author":"Mutlu","year":"2019","journal-title":"Microprocess. Microsyst."},{"issue":"8","key":"10.1016\/j.vlsi.2024.102225_bib9","doi-asserted-by":"crossref","first-page":"613","DOI":"10.1145\/359576.359579","article-title":"Can programming be liberated from the von Neumann style? A functional style and its algebra of programs","volume":"21","author":"Backus","year":"1978","journal-title":"Commun. ACM"},{"key":"10.1016\/j.vlsi.2024.102225_bib10","series-title":"2014 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP)","first-page":"8326","article-title":"An energy-efficient VLSI architecture for pattern recognition via deep embedding of computation in SRAM","author":"Kang","year":"2014"},{"key":"10.1016\/j.vlsi.2024.102225_bib11","series-title":"Proceedings of the 53rd Annual Design Automation Conference","first-page":"1","article-title":"Pinatubo: a processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories","author":"Li","year":"2016"},{"key":"10.1016\/j.vlsi.2024.102225_bib12","doi-asserted-by":"crossref","first-page":"345","DOI":"10.1016\/j.vlsi.2019.08.004","article-title":"A large-scale in-memory computing for deep neural network with trained quantization","volume":"69","author":"Cheng","year":"2019","journal-title":"Integration"},{"issue":"17","key":"10.1016\/j.vlsi.2024.102225_bib13","doi-asserted-by":"crossref","first-page":"2181","DOI":"10.3390\/electronics10172181","article-title":"A novel ultra-low power 8t sram-based compute-in-memory design for binary neural networks","volume":"10","author":"Kim","year":"2021","journal-title":"Electronics"},{"key":"10.1016\/j.vlsi.2024.102225_bib14","doi-asserted-by":"crossref","DOI":"10.1016\/j.vlsi.2023.102060","article-title":"MAGIC-DHT: fast in-memory computing for Discrete hadamard Transform","volume":"93","author":"Tasnim","year":"2023","journal-title":"Integration"},{"issue":"11","key":"10.1016\/j.vlsi.2024.102225_bib15","doi-asserted-by":"crossref","first-page":"2306","DOI":"10.1109\/TCAD.2020.3043731","article-title":"DNN+ NeuroSim V2. 0: an end-to-end benchmarking framework for compute-in-memory accelerators for on-chip training","volume":"40","author":"Peng","year":"2020","journal-title":"IEEE Trans. Comput. Des. Integr. Circuits Syst."},{"issue":"12","key":"10.1016\/j.vlsi.2024.102225_bib16","doi-asserted-by":"crossref","first-page":"5011","DOI":"10.1109\/TCAD.2020.2966484","article-title":"Eva-cim: a system-level performance and energy evaluation framework for computing-in-memory architectures","volume":"39","author":"Gao","year":"2020","journal-title":"IEEE Trans. Comput. Des. Integr. Circuits Syst."},{"issue":"10","key":"10.1016\/j.vlsi.2024.102225_bib17","first-page":"4183","article-title":"Cimulator: a computing in memory emulator framework","volume":"69","author":"Dervay","year":"2022","journal-title":"IEEE Trans. Circuits Syst. II Express Briefs"},{"issue":"1","key":"10.1016\/j.vlsi.2024.102225_bib18","doi-asserted-by":"crossref","first-page":"6","DOI":"10.1109\/LCA.2018.2885752","article-title":"PIMSim: a flexible and detailed processing-in-memory simulator","volume":"18","author":"Xu","year":"2018","journal-title":"IEEE Comput. Archit. Lett."},{"key":"10.1016\/j.vlsi.2024.102225_bib19","series-title":"2019 IEEE International Electron Devices Meeting (IEDM)","first-page":"32","article-title":"DNN+ NeuroSim: an end-to-end benchmarking framework for compute-in-memory accelerators with versatile device technologies","author":"Peng","year":"2019"},{"key":"10.1016\/j.vlsi.2024.102225_bib20","series-title":"2020 IEEE International Symposium on Circuits and Systems (ISCAS)","first-page":"1","article-title":"Efficient time-domain in-memory computing based on TST-MRAM","author":"Wang","year":"2020"},{"key":"10.1016\/j.vlsi.2024.102225_bib21","series-title":"2021 58th ACM\/IEEE Design Automation Conference (DAC)","first-page":"739","article-title":"A charge-sharing based 8t sram in-memory computing for edge dnn acceleration","author":"Lee","year":"2021"},{"issue":"3","key":"10.1016\/j.vlsi.2024.102225_bib22","doi-asserted-by":"crossref","first-page":"191","DOI":"10.1038\/nnano.2015.29","article-title":"Memory leads the way to better computing","volume":"10","author":"Wong","year":"2015","journal-title":"Nat. Nanotechnol."},{"key":"10.1016\/j.vlsi.2024.102225_bib23","series-title":"2016 Design, Automation & Test in Europe Conference & Exhibition (DATE)","first-page":"948","article-title":"Fast logic synthesis for RRAM-based in-memory computing using majority-inverter graphs","author":"Shirinzadeh","year":"2016"},{"issue":"3","key":"10.1016\/j.vlsi.2024.102225_bib24","doi-asserted-by":"crossref","first-page":"470","DOI":"10.1109\/TVLSI.2017.2776954","article-title":"Computing in memory with spin-transfer torque magnetic RAM","volume":"26","author":"Jain","year":"2017","journal-title":"IEEE Trans. Very Large Scale Integr. Syst."},{"issue":"10","key":"10.1016\/j.vlsi.2024.102225_bib25","doi-asserted-by":"crossref","first-page":"1256","DOI":"10.1109\/LED.2013.2279137","article-title":"R-mram: a rom-embedded stt mram cache","volume":"34","author":"Lee","year":"2013","journal-title":"IEEE Electron. Device Lett."},{"issue":"1","key":"10.1016\/j.vlsi.2024.102225_bib26","doi-asserted-by":"crossref","first-page":"1115","DOI":"10.1038\/s41467-017-01481-9","article-title":"Temporal correlation detection using computational phase-change memory","volume":"8","author":"Sebastian","year":"2017","journal-title":"Nat. Commun."},{"key":"10.1016\/j.vlsi.2024.102225_bib27","series-title":"2012 International Electron Devices Meeting","first-page":"11","article-title":"Progress of STT-MRAM technology and the effect on normally-off computing systems","author":"Yoda","year":"2012"},{"issue":"4","key":"10.1016\/j.vlsi.2024.102225_bib28","doi-asserted-by":"crossref","first-page":"915","DOI":"10.1109\/JSSC.2016.2642198","article-title":"In-memory computation of a machine-learning classifier in a standard 6T SRAM array","volume":"52","author":"Zhang","year":"2017","journal-title":"IEEE J. Solid-State Circuits"},{"key":"10.1016\/j.vlsi.2024.102225_bib29","doi-asserted-by":"crossref","first-page":"222","DOI":"10.1109\/TNANO.2023.3269946","article-title":"A configurable 10 T SRAM-based IMC accelerator with scaled-voltage-based pulse count modulation for MAC and high-throughput XAC","volume":"22","author":"Saragada","year":"2023","journal-title":"IEEE Trans. Nanotechnol."},{"key":"10.1016\/j.vlsi.2024.102225_bib30","doi-asserted-by":"crossref","first-page":"71262","DOI":"10.1109\/ACCESS.2021.3079425","article-title":"10T SRAM computing-in-memory macros for binary and multibit MAC operation of DNN edge processors","volume":"9","author":"Kim","year":"2021","journal-title":"IEEE Access"},{"key":"10.1016\/j.vlsi.2024.102225_bib31","series-title":"2021 18th International SoC Design Conference (ISOCC)","first-page":"89","article-title":"A charge-domain 10T SRAM based in-memory-computing macro for low energy and highly accurate DNN inference","author":"Kim","year":"2021"},{"issue":"5","key":"10.1016\/j.vlsi.2024.102225_bib32","doi-asserted-by":"crossref","first-page":"2135","DOI":"10.1007\/s00034-020-01578-5","article-title":"Design and analysis of SRAM cell using body bias controller for low power applications","volume":"40","author":"Mishra","year":"2021","journal-title":"Circuits, Syst. Signal Process"},{"issue":"4","key":"10.1016\/j.vlsi.2024.102225_bib33","doi-asserted-by":"crossref","first-page":"1009","DOI":"10.1109\/JSSC.2016.2515510","article-title":"A 28 nm configurable memory (TCAM\/BCAM\/SRAM) using push-rule 6T bit cell enabling logic-in-memory","volume":"51","author":"Jeloka","year":"2016","journal-title":"IEEE J. Solid-State Circuits"},{"issue":"6","key":"10.1016\/j.vlsi.2024.102225_bib34","doi-asserted-by":"crossref","first-page":"769","DOI":"10.1109\/TVLSI.2022.3164756","article-title":"A reliable 8T SRAM for high-speed searching and logic-in-memory operations","volume":"30","author":"Chen","year":"2022","journal-title":"IEEE Trans. Very Large Scale Integr. Syst."},{"issue":"4","key":"10.1016\/j.vlsi.2024.102225_bib35","doi-asserted-by":"crossref","first-page":"995","DOI":"10.1109\/JSSC.2017.2776302","article-title":"Recryptor: a reconfigurable cryptographic cortex-M0 processor with in-memory and near-memory computing for IoT security","volume":"53","author":"Zhang","year":"2018","journal-title":"IEEE J. Solid-State Circuits"},{"issue":"12","key":"10.1016\/j.vlsi.2024.102225_bib36","doi-asserted-by":"crossref","first-page":"4219","DOI":"10.1109\/TCSI.2018.2848999","article-title":"X-SRAM: enabling in-memory Boolean computations in CMOS static random access memories","volume":"65","author":"Agrawal","year":"2018","journal-title":"IEEE Trans. Circuits Syst. I Regul. Pap."},{"key":"10.1016\/j.vlsi.2024.102225_bib37","doi-asserted-by":"crossref","DOI":"10.1016\/j.vlsi.2024.102155","article-title":"A robust radiation resistant SRAM cell for space and military applications","volume":"96","author":"Kumar","year":"2024","journal-title":"Integration"}],"container-title":["Integration"],"original-title":[],"language":"en","link":[{"URL":"https:\/\/api.elsevier.com\/content\/article\/PII:S0167926024000890?httpAccept=text\/xml","content-type":"text\/xml","content-version":"vor","intended-application":"text-mining"},{"URL":"https:\/\/api.elsevier.com\/content\/article\/PII:S0167926024000890?httpAccept=text\/plain","content-type":"text\/plain","content-version":"vor","intended-application":"text-mining"}],"deposited":{"date-parts":[[2024,8,1]],"date-time":"2024-08-01T15:11:34Z","timestamp":1722525094000},"score":1,"resource":{"primary":{"URL":"https:\/\/linkinghub.elsevier.com\/retrieve\/pii\/S0167926024000890"}},"subtitle":[],"short-title":[],"issued":{"date-parts":[[2024,9]]},"references-count":37,"alternative-id":["S0167926024000890"],"URL":"https:\/\/doi.org\/10.1016\/j.vlsi.2024.102225","relation":{},"ISSN":["0167-9260"],"issn-type":[{"type":"print","value":"0167-9260"}],"subject":[],"published":{"date-parts":[[2024,9]]},"assertion":[{"value":"Elsevier","name":"publisher","label":"This article is maintained by"},{"value":"A 10T SRAM architecture with 40\u00a0% enhanced throughput for IMC applications benchmarked with CIFAR-10 dataset","name":"articletitle","label":"Article Title"},{"value":"Integration","name":"journaltitle","label":"Journal Title"},{"value":"https:\/\/doi.org\/10.1016\/j.vlsi.2024.102225","name":"articlelink","label":"CrossRef DOI link to publisher maintained version"},{"value":"article","name":"content_type","label":"Content Type"},{"value":"\u00a9 2024 Elsevier B.V. All rights are reserved, including those for text and data mining, AI training, and similar technologies.","name":"copyright","label":"Copyright"}],"article-number":"102225"}}