{"status":"ok","message-type":"work","message-version":"1.0.0","message":{"indexed":{"date-parts":[[2024,7,11]],"date-time":"2024-07-11T02:40:16Z","timestamp":1720665616582},"reference-count":81,"publisher":"Elsevier BV","license":[{"start":{"date-parts":[[2021,2,1]],"date-time":"2021-02-01T00:00:00Z","timestamp":1612137600000},"content-version":"tdm","delay-in-days":0,"URL":"https:\/\/www.elsevier.com\/tdm\/userlicense\/1.0\/"},{"start":{"date-parts":[[2021,2,1]],"date-time":"2021-02-01T00:00:00Z","timestamp":1612137600000},"content-version":"tdm","delay-in-days":0,"URL":"https:\/\/www.elsevier.com\/legal\/tdmrep-license"},{"start":{"date-parts":[[2021,2,1]],"date-time":"2021-02-01T00:00:00Z","timestamp":1612137600000},"content-version":"stm-asf","delay-in-days":0,"URL":"https:\/\/doi.org\/10.15223\/policy-017"},{"start":{"date-parts":[[2021,2,1]],"date-time":"2021-02-01T00:00:00Z","timestamp":1612137600000},"content-version":"stm-asf","delay-in-days":0,"URL":"https:\/\/doi.org\/10.15223\/policy-037"},{"start":{"date-parts":[[2021,2,1]],"date-time":"2021-02-01T00:00:00Z","timestamp":1612137600000},"content-version":"stm-asf","delay-in-days":0,"URL":"https:\/\/doi.org\/10.15223\/policy-012"},{"start":{"date-parts":[[2021,2,1]],"date-time":"2021-02-01T00:00:00Z","timestamp":1612137600000},"content-version":"stm-asf","delay-in-days":0,"URL":"https:\/\/doi.org\/10.15223\/policy-029"},{"start":{"date-parts":[[2021,2,1]],"date-time":"2021-02-01T00:00:00Z","timestamp":1612137600000},"content-version":"stm-asf","delay-in-days":0,"URL":"https:\/\/doi.org\/10.15223\/policy-004"}],"funder":[{"DOI":"10.13039\/501100000780","name":"European Commission","doi-asserted-by":"publisher","id":[{"id":"10.13039\/501100000780","id-type":"DOI","asserted-by":"publisher"}]}],"content-domain":{"domain":["elsevier.com","sciencedirect.com"],"crossmark-restriction":true},"short-container-title":["Microprocessors and Microsystems"],"published-print":{"date-parts":[[2021,2]]},"DOI":"10.1016\/j.micpro.2020.103326","type":"journal-article","created":{"date-parts":[[2020,10,15]],"date-time":"2020-10-15T15:52:03Z","timestamp":1602777123000},"page":"103326","update-policy":"http:\/\/dx.doi.org\/10.1016\/elsevier_cm_policy","source":"Crossref","is-referenced-by-count":5,"special_numbering":"C","title":["The Multi-Dataflow Composer tool: An open-source tool suite for optimized coarse-grain reconfigurable hardware accelerators and platform design"],"prefix":"10.1016","volume":"80","author":[{"given":"Carlo","family":"Sau","sequence":"first","affiliation":[]},{"given":"Tiziana","family":"Fanni","sequence":"additional","affiliation":[]},{"given":"Claudio","family":"Rubattu","sequence":"additional","affiliation":[]},{"given":"Luigi","family":"Raffo","sequence":"additional","affiliation":[]},{"given":"Francesca","family":"Palumbo","sequence":"additional","affiliation":[]}],"member":"78","reference":[{"key":"10.1016\/j.micpro.2020.103326_bib0001","unstructured":"Xilinx, URL https:\/\/www.xilinx.com."},{"key":"10.1016\/j.micpro.2020.103326_bib0002","unstructured":"Intel, URL https:\/\/www.intel.com."},{"key":"10.1016\/j.micpro.2020.103326_bib0003","unstructured":"Cadence, URL https:\/\/www.cadence.com."},{"issue":"2","key":"10.1016\/j.micpro.2020.103326_bib0004","doi-asserted-by":"crossref","first-page":"171","DOI":"10.1145\/508352.508353","article-title":"Reconfigurable computing: a survey of systems and software","volume":"34","author":"Compton","year":"2002","journal-title":"ACM Comput. Surv."},{"key":"10.1016\/j.micpro.2020.103326_bib0005","unstructured":"Increasing Design Functionality with Partial and Dynamic Reconfiguration in 28-nm FPGAs, Altera, 2010. URL: https:\/\/www.intel.com\/content\/dam\/www\/programmable\/us\/en\/pdfs\/literature\/wp\/wp-01137-stxv-dynamic-partial-reconfig.pdf."},{"key":"10.1016\/j.micpro.2020.103326_bib0006","unstructured":"Partial Reconfiguration User Guide, Xilinx, 2013. URL: https:\/\/www.xilinx.com\/support\/documentation\/sw_manuals\/xilinx14_7\/ug702.pdf."},{"key":"10.1016\/j.micpro.2020.103326_bib0007","series-title":"Applications in Electronics Pervading Industry, Environment and Society (APPLEPIES)","first-page":"57","article-title":"Challenging CPS trade-off adaptivity with coarse-grained reconfiguration","author":"Palumbo","year":"2017"},{"key":"10.1016\/j.micpro.2020.103326_bib0008","series-title":"2012 IEEE 18th International Conference on Parallel and Distributed Systems (ICPADS)","first-page":"834","article-title":"Prodfa: Accelerating domain applications with a coarse-grained runtime reconfigurable architecture","author":"Yan","year":"2012"},{"key":"10.1016\/j.micpro.2020.103326_bib0009","first-page":"1","article-title":"Power-awarness in coarse-grained reconfigurable multi-functional architectures: a dataflow based strategy","author":"Palumbo","year":"2016","journal-title":"J. Signal Process Syst."},{"issue":"12","key":"10.1016\/j.micpro.2020.103326_bib0010","doi-asserted-by":"crossref","first-page":"1803","DOI":"10.1109\/TCAD.2012.2209886","article-title":"Integrated kernel partitioning and scheduling for coarse-grained reconfigurable arrays","volume":"31","author":"Ansaloni","year":"2012","journal-title":"IEEE Trans. Comput. Aided Des. Integr. Circuits Syst."},{"key":"10.1016\/j.micpro.2020.103326_bib0011","series-title":"International Conference on ReConFigurable Computing and FPGAs (ReConFig)","first-page":"1","article-title":"Reconfigurable coprocessors synthesis in the MPEG-RVC domain","author":"Sau","year":"2015"},{"issue":"1\u20132","key":"10.1016\/j.micpro.2020.103326_bib0012","first-page":"7","article-title":"Reconfigurable computing for digital signal processing: a survey","volume":"28","author":"Tessier","year":"2001","journal-title":"J. Signal Process Syst."},{"issue":"2","key":"10.1016\/j.micpro.2020.103326_bib0013","doi-asserted-by":"crossref","first-page":"193","DOI":"10.1049\/ip-cdt:20045086","article-title":"Reconfigurable computing: architectures and design methods","volume":"152","author":"Todman","year":"2005","journal-title":"IEE Proceed.-Comput. Digi. Tech."},{"key":"10.1016\/j.micpro.2020.103326_sbref0014","article-title":"Handbook of Signal Processing Systems","year":"2013"},{"key":"10.1016\/j.micpro.2020.103326_bib0015","series-title":"Programming Symposium, Proceedings Colloque Sur La Programmation","first-page":"362","article-title":"First version of a data flow procedure language","author":"Dennis","year":"1974"},{"key":"10.1016\/j.micpro.2020.103326_bib0016","first-page":"471","article-title":"The semantics of a simple language for parallel programming","volume":"74","author":"Gilles","year":"1974","journal-title":"Inform. Process."},{"issue":"5","key":"10.1016\/j.micpro.2020.103326_bib0017","doi-asserted-by":"crossref","first-page":"773","DOI":"10.1109\/5.381846","article-title":"Dataflow process networks","volume":"83","author":"Lee","year":"1995","journal-title":"Proc. IEEE"},{"key":"10.1016\/j.micpro.2020.103326_bib0018","series-title":"Proceedings of the IEEE Workshop on Signal Processing Systems (SIPS)","article-title":"Synthesis and high level optimisation of multidimensional dataflow actor networks on FPGA","author":"McAllister","year":"2004"},{"key":"10.1016\/j.micpro.2020.103326_bib0019","series-title":"Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE)","article-title":"System design using Kahn process networks: the Compaan\/Laura approach","author":"Stefanov","year":"2004"},{"key":"10.1016\/j.micpro.2020.103326_bib0020","series-title":"2014 6th European Embedded Design in Education and Research Conference (EDERC)","first-page":"36","article-title":"Preesm: a dataflow-based rapid prototyping framework for simplifying multicore dsp programming","author":"Pelcat","year":"2014"},{"key":"10.1016\/j.micpro.2020.103326_bib0021","series-title":"International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS)","article-title":"Pimm: parameterized and interfaced dataflow meta-model for mpsocs runtime reconfiguration","author":"Desnos","year":"2013"},{"key":"10.1016\/j.micpro.2020.103326_bib0022","unstructured":"RVC-CAL Community, Open RVC-CAL compiler (Orcc), 2018, URL http:\/\/orcc.sourceforge.net\/."},{"key":"10.1016\/j.micpro.2020.103326_bib0023","series-title":"Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS)","first-page":"1995","article-title":"A codesign synthesis from an mpeg-4 decoder dataflow description","author":"Siret","year":"2010"},{"key":"10.1016\/j.micpro.2020.103326_bib0024","series-title":"2013 IEEE International Symposium on Circuits and Systems (ISCAS)","first-page":"654","article-title":"Turnus: A design exploration framework for dataflow system design","author":"Casale-Brunet","year":"2013"},{"key":"10.1016\/j.micpro.2020.103326_bib0025","series-title":"2013 8th International Symposium on Image and Signal Processing and Analysis (ISPA)","first-page":"750","article-title":"High-level synthesis of dataflow programs for signal processing systems","author":"Bezati","year":"2013"},{"key":"10.1016\/j.micpro.2020.103326_bib0026","doi-asserted-by":"crossref","unstructured":"J. S\u00e9rot, F. Berry, S. Ahmed, CAPH: a Language for Implementing Stream-Processing Applications on FPGAs, Springer New York, pp. 201\u2013224. 10.1007\/978-1-4614-1362-2_9.","DOI":"10.1007\/978-1-4614-1362-2_9"},{"issue":"4","key":"10.1016\/j.micpro.2020.103326_bib0027","doi-asserted-by":"crossref","first-page":"635","DOI":"10.1007\/s11554-014-0462-6","article-title":"High-level dataflow programming for real-time image processing on smart cameras","volume":"12","author":"S\u00e9rot","year":"2016","journal-title":"J. Real-Time Image Process."},{"key":"10.1016\/j.micpro.2020.103326_sbref0028","series-title":"Draft Proceedings of the Ninth Symposium on Trends in Functional Programming (TFP)","article-title":"The semantics of a purely functional graph notation system","author":"S\u00e9rot","year":"2008"},{"key":"10.1016\/j.micpro.2020.103326_bib0029","series-title":"Proceedings of the Wireless Innovation Conference and Product Exposition","first-page":"640","article-title":"A lightweight dataflow approach for design and implementation of SDR systems","author":"Shen","year":"2010"},{"key":"10.1016\/j.micpro.2020.103326_bib0030","series-title":"9th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools (DSD)","first-page":"584","article-title":"Clock-gating in fpgas: A novel and comparative evaluation","author":"Zhang","year":"2006"},{"key":"10.1016\/j.micpro.2020.103326_bib0031","doi-asserted-by":"crossref","first-page":"3","DOI":"10.1145\/225871.225877","article-title":"Power minimization in ic design: principles and applications","volume":"1","author":"Pedram","year":"1996","journal-title":"ACM Trans. Des. Autom. Electron. Syst."},{"issue":"3","key":"10.1016\/j.micpro.2020.103326_bib0032","doi-asserted-by":"crossref","first-page":"415","DOI":"10.1109\/81.841927","article-title":"Clock-gating and its application to low power design of sequential circuits","volume":"47","author":"Wu","year":"2000","journal-title":"IEEE Trans. Circu. Syst I"},{"key":"10.1016\/j.micpro.2020.103326_bib0033","unstructured":"Using Encounter\u00aeRTL Compiler, Product Version 14.1, Cadence\u00ae, 2014."},{"key":"10.1016\/j.micpro.2020.103326_bib0034","unstructured":"Cadence\u00ae, Genus synthesis solution, 2018, URL https:\/\/www.cadence.com\/en_US\/home\/tools\/digital-design-and-signoff\/synthesis\/genus-synthesis-solution.html."},{"key":"10.1016\/j.micpro.2020.103326_bib0035","unstructured":"Synopsys\u00ae, Design compiler: Rtl synthesis, 2018, URL https:\/\/www.synopsys.com\/support\/training\/rtl-synthesis.html."},{"issue":"7","key":"10.1016\/j.micpro.2020.103326_sbref0036","doi-asserted-by":"crossref","first-page":"120","DOI":"10.1016\/j.ifacol.2018.06.289","article-title":"Exercising symbolic discrete control for designing low-power hardware circuits: an application to clock-gating","volume":"51","author":"\u00d6zbaltan","year":"2018","journal-title":"IFAC-PapersOnLine"},{"issue":"4","key":"10.1016\/j.micpro.2020.103326_bib0037","doi-asserted-by":"crossref","first-page":"699","DOI":"10.1109\/TCAD.2016.2597215","article-title":"Clock-gating of streaming applications for energy efficient implementations on fpgas","volume":"36","author":"Bezati","year":"2017","journal-title":"IEEE Trans. Comput. Aided Des. Integr. Circuits Syst."},{"key":"10.1016\/j.micpro.2020.103326_bib0038","series-title":"Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED)","first-page":"38","article-title":"Analysis of dynamic voltage\/frequency scaling in chip-multiprocessors","author":"Herbert","year":"2007"},{"issue":"1","key":"10.1016\/j.micpro.2020.103326_bib0039","doi-asserted-by":"crossref","first-page":"1","DOI":"10.1145\/1952998.1952999","article-title":"Fine-grained DVFS using on-chip regulators","volume":"8","author":"Eyerman","year":"2011","journal-title":"ACM Trans. Archit. Code Optim. (TACO)"},{"key":"10.1016\/j.micpro.2020.103326_bib0040","series-title":"ACM International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS)","first-page":"559","article-title":"A comparison of core power gating strategies implemented in modern hardware","author":"Arora","year":"2014"},{"key":"10.1016\/j.micpro.2020.103326_bib0041","series-title":"ARM White Paper","article-title":"Advances in big.little technology for power and energy savings improving energy efficiency in high-performance mobile platforms","author":"Jeff","year":"2012"},{"key":"10.1016\/j.micpro.2020.103326_bib0042","unstructured":"IEEE Standard 1801\u20132015, UPF-2.0, Unified Power Format 2.0, IEEE Standard for Design and Verification of Low-Power, Energy-Aware Electronic Systems, 2016."},{"key":"10.1016\/j.micpro.2020.103326_bib0043","unstructured":"Si2 Common Power Format SpecificationTM - Version 2.1, Silicon Integration Initiative., 2014."},{"key":"10.1016\/j.micpro.2020.103326_bib0044","series-title":"2016 IEEE East-West Design Test Symposium (EWDTS)","first-page":"1","article-title":"Power specification, simulation and verification of systemc designs","author":"Gagarski","year":"2016"},{"key":"10.1016\/j.micpro.2020.103326_bib0045","doi-asserted-by":"crossref","first-page":"26","DOI":"10.1016\/j.micpro.2017.02.002","article-title":"Lp-hls: automatic power-intent generation for high-level synthesis based hardware implementation flow","volume":"50","author":"Qamar","year":"2017","journal-title":"Microprocess. Microsyst."},{"key":"10.1016\/j.micpro.2020.103326_bib0046","series-title":"2018 IEEE 21st International Symposium on Design and Diagnostics of Electronic Circuits Systems (DDECS)","first-page":"27","article-title":"Contribution to automated generating of system power-management specification","author":"Macko","year":"2018"},{"issue":"1","key":"10.1016\/j.micpro.2020.103326_bib0047","doi-asserted-by":"crossref","first-page":"135","DOI":"10.1007\/s11265-006-7512-7","article-title":"Reconfigurable coprocessor for multimedia application domain","volume":"44","author":"Carta","year":"2006","journal-title":"J. VLSI Signal Process. Syst. Signal Image Video Technol."},{"key":"10.1016\/j.micpro.2020.103326_bib0048","first-page":"73","article-title":"Highly flexible multimode digital signal processing systems using adaptable components and controllers","volume":"2006","author":"Kumar","year":"2006","journal-title":"EURASIP J. Appl. Signal Process."},{"key":"10.1016\/j.micpro.2020.103326_sbref0049","doi-asserted-by":"crossref","DOI":"10.1145\/1064546.1180613","article-title":"The datapath merging problem in reconfigurable systems: Complexity, dual bounds and heuristic evaluation","volume":"10","author":"Souza","year":"2005","journal-title":"Journal of Experimental Algorithmics"},{"key":"10.1016\/j.micpro.2020.103326_bib0050","series-title":"15th International Symposium on System Synthesis","first-page":"38","article-title":"Datapath merging and interconnection sharing for reconfigurable architectures","author":"Moreano","year":"2002"},{"key":"10.1016\/j.micpro.2020.103326_bib0051","unstructured":"Synflow SAS, Synflow ide, 2018, URL http:\/\/www.synflow.com\/."},{"key":"10.1016\/j.micpro.2020.103326_bib0052","series-title":"International Symposium on Image and Signal Processing and Analysis","first-page":"744","article-title":"DSE and profiling of multi-context coarse-grained reconfigurable systems","author":"Palumbo","year":"2013"},{"key":"10.1016\/j.micpro.2020.103326_bib0053","series-title":"Proceedings of the 12th ACM International Conference on Computing Frontiers (CF)","first-page":"61:1","article-title":"Automated power gating methodology for dataflow-based reconfigurable systems","author":"Fanni","year":"2015"},{"key":"10.1016\/j.micpro.2020.103326_bib0054","unstructured":"A Practical Guide to Low Power Design, Power Forward Initiative., 2009. URL: https:\/\/projects.si2.org\/events_dir\/2009\/PowerForward\/LowPowerGuide09232009\/pfi_lpg_chapters\/lpg_sect1_06052009.pdf."},{"key":"10.1016\/j.micpro.2020.103326_bib0055","series-title":"Proceedings of the ACM International Conference on Computing Frontiers (CF)","first-page":"384","article-title":"Power and clock gating modelling in coarse grained reconfigurable systems","author":"Fanni","year":"2016"},{"key":"10.1016\/j.micpro.2020.103326_bib0056","first-page":"27","article-title":"Modelling and automated implementation of optimal power saving strategies in coarse-grained reconfigurable architectures","author":"Palumbo","year":"2016","journal-title":"J. Electr. Comput. Eng."},{"key":"10.1016\/j.micpro.2020.103326_bib0057","unstructured":"Vivado Design Suite \u2014 AXI Reference Guide \u2014 UG1037 (v4.0), Xilinx, 2017. URL: https:\/\/www.xilinx.com\/support\/documentation\/ip_documentation\/axi_ref_guide\/latest\/ug1037-vivado-axi-reference-guide.pdf."},{"key":"10.1016\/j.micpro.2020.103326_bib0058","first-page":"1","article-title":"Automated design flow for multi-functional dataflow-based platforms","author":"Sau","year":"2015","journal-title":"J Signal Process Syst"},{"key":"10.1016\/j.micpro.2020.103326_bib0059","doi-asserted-by":"crossref","first-page":"37","DOI":"10.1080\/2151237X.2005.10129202","article-title":"Selectively damped least squares for inverse kinematics","volume":"10","author":"Buss","year":"2004","journal-title":"J. Graph. Tool."},{"key":"10.1016\/j.micpro.2020.103326_bib0060","unstructured":"S.R. Buss, Introduction to inverse kinematics with jacobian transpose, pseudoinverse and damped least squares methods, 2009. Unpublished. URL https:\/\/www.math.ucsd.edu\/~sbuss\/ResearchWeb\/ikmethods\/iksurvey.pdf."},{"key":"10.1016\/j.micpro.2020.103326_bib0061","series-title":"Proceedings of the Cyber-Physical Systems PhD Workshop 2019, an event held within the CPS Summer School \u201dDesigning Cyber-Physical Systems - From concepts to implementation\u201d","first-page":"107","article-title":"A dataflow implementation of inverse kinematics on reconfigurable heterogeneous mpsoc","author":"Fanni","year":"2019"},{"key":"10.1016\/j.micpro.2020.103326_bib0062","series-title":"2014 6th European Embedded Design in Education and Research Conference (EDERC)","first-page":"36","article-title":"Preesm: A dataflow-based rapid prototyping framework for simplifying multicore dsp programming","author":"Pelcat","year":"2014"},{"key":"10.1016\/j.micpro.2020.103326_bib0063","series-title":"Proceedings of the 2013 Electronic System Level Synthesis Conference (ESLsyn)","first-page":"1","article-title":"Synthesis and optimization of high-level stream programs","author":"Bezati","year":"2013"},{"key":"10.1016\/j.micpro.2020.103326_bib0064","unstructured":"Bambu, URL https:\/\/panda.dei.polimi.it\/?page_id=31."},{"key":"10.1016\/j.micpro.2020.103326_bib0065","unstructured":"Xilinx, Xilinx Vivado High-Level Synthesis, URL www.xilinx.com\/products\/design-tools\/vivado\/integration\/esl-design."},{"key":"10.1016\/j.micpro.2020.103326_bib0066","unstructured":"Intel, Intel FPGA SDK for OpenCL, URL https:\/\/www.altera.com\/products\/design-software\/embedded-software-developers\/opencl\/."},{"key":"10.1016\/j.micpro.2020.103326_bib0067","unstructured":"Cadence, Stratus high-level synthesis, URL http:\/\/www.cadence.com\/content\/cadence-www\/global\/en_US\/home\/tools\/digital-design-and-signoff\/synthesis\/stratus-high-level-synthesis."},{"key":"10.1016\/j.micpro.2020.103326_bib0068","doi-asserted-by":"crossref","first-page":"143","DOI":"10.1007\/s11265-015-1026-0","article-title":"Automated design flow for multi-functional dataflow-based platforms","volume":"85","author":"Sau","year":"2016","journal-title":"J. Signal Process. Syst."},{"issue":"10","key":"10.1016\/j.micpro.2020.103326_bib0069","doi-asserted-by":"crossref","first-page":"1591","DOI":"10.1109\/TCAD.2015.2513673","article-title":"A survey and evaluation of fpga high-level synthesis tools","volume":"35","author":"Nane","year":"2016","journal-title":"Trans. Comp.-Aided Des. Integ. Cir. Sys."},{"issue":"3","key":"10.1016\/j.micpro.2020.103326_bib0070","doi-asserted-by":"crossref","first-page":"69","DOI":"10.1109\/LES.2018.2882989","article-title":"Dataflow-functional high-level synthesis for coarse-grained reconfigurable accelerators","volume":"11","author":"Rubattu","year":"2019","journal-title":"IEEE Embed. Syst. Lett."},{"issue":"2","key":"10.1016\/j.micpro.2020.103326_bib0071","doi-asserted-by":"crossref","first-page":"251","DOI":"10.1007\/s11265-009-0399-3","article-title":"Overview of the mpeg reconfigurable video coding framework","volume":"63","author":"Bhattacharyya","year":"2011","journal-title":"J. Signal Process. Syst."},{"key":"10.1016\/j.micpro.2020.103326_bib0072","series-title":"2014 6th European Embedded Design in Education and Research Conference (EDERC)","first-page":"167","article-title":"SPIDER: a synchronous parameterized and interfaced dataflow-based RTOS for multicore DSPS","author":"Heulot","year":"2014"},{"key":"10.1016\/j.micpro.2020.103326_sbref0073","series-title":"Proceedings of the Cyber-Physical Systems PhD & Postdoc Workshop 2018, an event held within the CPS Summer School \u201cDesigning Cyber-Physical Systems - From Concepts to Implementation\u201d (CPSSS 2018)","article-title":"Dataflow-based adaptation framework with coarse-grained reconfigurable accelerators","author":"Rubattu","year":"2018"},{"key":"10.1016\/j.micpro.2020.103326_bib0074","series-title":"Sixth International Workshop on FPGAs for Software Programmers (FSP Workshop)","first-page":"1","article-title":"Run-time performance monitoring of heterogenous hw\/sw platforms using papi","author":"Fanni","year":"2019"},{"key":"10.1016\/j.micpro.2020.103326_bib0075","unstructured":"PAPI, Performance API, 2019, URL http:\/\/icl.utk.edu\/papi\/."},{"key":"10.1016\/j.micpro.2020.103326_bib0076","doi-asserted-by":"crossref","first-page":"111801","DOI":"10.1109\/ACCESS.2019.2934223","article-title":"Papify: automatic instrumentation and monitoring of dynamic dataflow applications based on papi","volume":"7","author":"Madro\u00f1al","year":"2019","journal-title":"IEEE Access"},{"issue":"6","key":"10.1016\/j.micpro.2020.103326_bib0077","doi-asserted-by":"crossref","DOI":"10.3390\/s18061877","article-title":"Fpga-based high-performance embedded systems for adaptive edge computing in cyber-physical systems: the artico3 framework","volume":"18","author":"Rodr\u00edguez","year":"2018","journal-title":"Sensors"},{"key":"10.1016\/j.micpro.2020.103326_bib0078","series-title":"2018 International Conference on ReConFigurable Computing and FPGAs (ReConFig)","first-page":"1","article-title":"Multi-grain reconfiguration for advanced adaptivity in cyber-physical systems","author":"Fanni","year":"2018"},{"issue":"3","key":"10.1016\/j.micpro.2020.103326_bib0079","doi-asserted-by":"crossref","first-page":"65","DOI":"10.1109\/LES.2017.2703585","article-title":"Challenging the best hevc fractional pixel fpga interpolators with reconfigurable and multifrequency approximate computing","volume":"9","author":"Sau","year":"2017","journal-title":"IEEE Embed. Syst. Lett."},{"key":"10.1016\/j.micpro.2020.103326_bib0080","series-title":"Proceedings of the 16th ACM International Conference on Computing Frontiers (CF)","first-page":"320","article-title":"Cerbero: Cross-layer model-based framework for multi-objective design of reconfigurable systems in uncertain hybrid environments","author":"Palumbo","year":"2019"},{"key":"10.1016\/j.micpro.2020.103326_bib0081","series-title":"Proceedings of the 16th ACM International Conference on Computing Frontiers (CF)","first-page":"333","article-title":"The fitoptivis ECSEL project: highly efficient distributed embedded image\/video processing in cyber-physical systems","author":"Al-Ars","year":"2019"}],"container-title":["Microprocessors and Microsystems"],"original-title":[],"language":"en","link":[{"URL":"https:\/\/api.elsevier.com\/content\/article\/PII:S0141933120304853?httpAccept=text\/xml","content-type":"text\/xml","content-version":"vor","intended-application":"text-mining"},{"URL":"https:\/\/api.elsevier.com\/content\/article\/PII:S0141933120304853?httpAccept=text\/plain","content-type":"text\/plain","content-version":"vor","intended-application":"text-mining"}],"deposited":{"date-parts":[[2024,7,11]],"date-time":"2024-07-11T02:08:47Z","timestamp":1720663727000},"score":1,"resource":{"primary":{"URL":"https:\/\/linkinghub.elsevier.com\/retrieve\/pii\/S0141933120304853"}},"subtitle":[],"short-title":[],"issued":{"date-parts":[[2021,2]]},"references-count":81,"alternative-id":["S0141933120304853"],"URL":"https:\/\/doi.org\/10.1016\/j.micpro.2020.103326","relation":{},"ISSN":["0141-9331"],"issn-type":[{"value":"0141-9331","type":"print"}],"subject":[],"published":{"date-parts":[[2021,2]]},"assertion":[{"value":"Elsevier","name":"publisher","label":"This article is maintained by"},{"value":"The Multi-Dataflow Composer tool: An open-source tool suite for optimized coarse-grain reconfigurable hardware accelerators and platform design","name":"articletitle","label":"Article Title"},{"value":"Microprocessors and Microsystems","name":"journaltitle","label":"Journal Title"},{"value":"https:\/\/doi.org\/10.1016\/j.micpro.2020.103326","name":"articlelink","label":"CrossRef DOI link to publisher maintained version"},{"value":"article","name":"content_type","label":"Content Type"},{"value":"\u00a9 2020 Elsevier B.V. All rights reserved.","name":"copyright","label":"Copyright"}],"article-number":"103326"}}