{"status":"ok","message-type":"work","message-version":"1.0.0","message":{"indexed":{"date-parts":[[2024,9,11]],"date-time":"2024-09-11T04:27:11Z","timestamp":1726028831218},"publisher-location":"Cham","reference-count":33,"publisher":"Springer International Publishing","isbn-type":[{"type":"print","value":"9783030156626"},{"type":"electronic","value":"9783030156633"}],"license":[{"start":{"date-parts":[[2019,1,1]],"date-time":"2019-01-01T00:00:00Z","timestamp":1546300800000},"content-version":"tdm","delay-in-days":0,"URL":"https:\/\/www.springernature.com\/gp\/researchers\/text-and-data-mining"},{"start":{"date-parts":[[2019,1,1]],"date-time":"2019-01-01T00:00:00Z","timestamp":1546300800000},"content-version":"vor","delay-in-days":0,"URL":"https:\/\/www.springernature.com\/gp\/researchers\/text-and-data-mining"}],"content-domain":{"domain":["link.springer.com"],"crossmark-restriction":false},"short-container-title":[],"published-print":{"date-parts":[[2019]]},"DOI":"10.1007\/978-3-030-15663-3_8","type":"book-chapter","created":{"date-parts":[[2019,5,16]],"date-time":"2019-05-16T18:56:41Z","timestamp":1558033001000},"page":"153-177","update-policy":"http:\/\/dx.doi.org\/10.1007\/springer_crossmark_policy","source":"Crossref","is-referenced-by-count":0,"title":["On the Efficiency of Early Bird Sampling (EBS) an Error Detection-Correction Scheme for Data-Driven Voltage Over-Scaling"],"prefix":"10.1007","author":[{"given":"Roberto G.","family":"Rizzo","sequence":"first","affiliation":[]},{"given":"Valentino","family":"Peluso","sequence":"additional","affiliation":[]},{"given":"Andrea","family":"Calimera","sequence":"additional","affiliation":[]},{"given":"Jun","family":"Zhou","sequence":"additional","affiliation":[]}],"member":"297","published-online":{"date-parts":[[2019,5,17]]},"reference":[{"key":"8_CR1","unstructured":"Ernst, D., Kim, N., et\u00a0al.: Razor: a low-power pipeline based on circuit-level timing speculation. In: 36th Annual IEEE\/ACM International Symposium on Microarchitecture, MICRO-36, Proceedings, pp. 7\u201318. IEEE (2003)"},{"key":"8_CR2","doi-asserted-by":"crossref","unstructured":"Rizzo, R.G., Peluso, V., Calimera, A., Zhou, J., Liu, X.: Early bird sampling: a short-paths free error detection-correction strategy for data-driven VOS. In: 2017 IEEE 25th International Conference on Very Large Scale Integration (VLSI-SoC). IEEE (2017)","DOI":"10.1109\/VLSI-SoC.2017.8203472"},{"key":"8_CR3","unstructured":"Benini, L., Castelli, G., Macii, A., Macii, B., Scarai, R.: Battery-driven dynamic power management of portable systems. In: Proceedings 13th International Symposium on System Synthesis, pp. 25\u201330 (2000)"},{"key":"8_CR4","doi-asserted-by":"crossref","unstructured":"Alioto, M.: Ultra low power design approaches for IoT. Singapore-Hotchips (2014)","DOI":"10.1109\/HOTCHIPS.2014.7478801"},{"key":"8_CR5","doi-asserted-by":"crossref","unstructured":"Bortolotti, D., Rossi, D., Bartolini, A., Benini, L.: A variation tolerant architecture for ultra low power multi-processor cluster. In: 2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp. 32\u201338. IEEE (2013)","DOI":"10.1109\/PATMOS.2013.6662152"},{"key":"8_CR6","series-title":"IFIP Advances in Information and Communication Technology","doi-asserted-by":"publisher","first-page":"152","DOI":"10.1007\/978-3-319-67104-8_8","volume-title":"VLSI-SoC: System-on-Chip in the Nanoscale Era \u2013 Design, Verification and Reliability","author":"V Peluso","year":"2017","unstructured":"Peluso, V., Rizzo, R.G., Calimera, A., Macii, E., Alioto, M.: Beyond ideal DVFS through ultra-fine grain vdd-hopping. In: Hollstein, T., Raik, J., Kostin, S., T\u0161ertov, A., O\u2019Connor, I., Reis, R. (eds.) VLSI-SoC 2016. IAICT, vol. 508, pp. 152\u2013172. Springer, Cham (2017). https:\/\/doi.org\/10.1007\/978-3-319-67104-8_8"},{"key":"8_CR7","unstructured":"Benini, L., De Micheli, G., Macii, E., Poncino, M., Scarsi, R.: Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks. In: Proceedings of the 1997 European Conference on Design and Test, EDTC 1997, p. 514. IEEE Computer Society, Washington, DC (1997)"},{"key":"8_CR8","doi-asserted-by":"crossref","unstructured":"Babighian, P., Benini, L., Macii, A., Macii, E.: Post-layout leakage power minimization based on distributed sleep transistor insertion. In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, ISLPED 2004, pp. 138\u2013143. ACM (2004)","DOI":"10.1145\/1013235.1013275"},{"issue":"11","key":"8_CR9","doi-asserted-by":"publisher","first-page":"1608","DOI":"10.1109\/TVLSI.2009.2025884","volume":"18","author":"A Calimera","year":"2010","unstructured":"Calimera, A., Bahar, R.I., Macii, E., Poncino, M.: Temperature-insensitive dual-Vth synthesis for nanometer CMOS technologies under inverse temperature dependence. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 18(11), 1608\u20131620 (2010)","journal-title":"IEEE Trans. Very Large Scale Integr. (VLSI) Syst."},{"key":"8_CR10","doi-asserted-by":"crossref","unstructured":"Calimera, A., et al.: Design of a family of sleep transistor cells for a clustered power-gating flow in 65\u00a0nm technology. In: Proceedings of the 17th ACM Great Lakes symposium on VLSI, pp. 501\u2013504. ACM (2007)","DOI":"10.1145\/1228784.1228903"},{"key":"8_CR11","doi-asserted-by":"crossref","unstructured":"Krause, P.K., et al.: Adaptive voltage over-scaling for resilient applications. In: 2011 Design, Automation Test in Europe, pp. 1\u20136, March 2011","DOI":"10.1109\/DATE.2011.5763153"},{"issue":"1","key":"8_CR12","doi-asserted-by":"publisher","first-page":"32","DOI":"10.1109\/JSSC.2008.2007145","volume":"44","author":"S Das","year":"2009","unstructured":"Das, S., et al.: RazorII: in situ error detection and correction for PVT and SER tolerance. IEEE J. Solid-State Circ. 44(1), 32\u201348 (2009)","journal-title":"IEEE J. Solid-State Circ."},{"issue":"6","key":"8_CR13","doi-asserted-by":"publisher","first-page":"1478","DOI":"10.1109\/JSSC.2015.2418713","volume":"50","author":"S Kim","year":"2015","unstructured":"Kim, S., et al.: Variation-tolerant, ultra-low-voltage microprocessor with a low-overhead, within-a-cycle in-situ timing-error detection and correction technique. IEEE J. Solid-State Circ. 50(6), 1478\u20131490 (2015)","journal-title":"IEEE J. Solid-State Circ."},{"key":"8_CR14","doi-asserted-by":"crossref","unstructured":"Valadimas, S., et\u00a0al.: Timing error tolerance in nanometer ICs. In: 2010 IEEE 16th International On-Line Testing Symposium (IOLTS), pp. 283\u2013288. IEEE (2010)","DOI":"10.1109\/IOLTS.2010.5560189"},{"issue":"1","key":"8_CR15","doi-asserted-by":"publisher","first-page":"49","DOI":"10.1109\/JSSC.2008.2007148","volume":"44","author":"KA Bowman","year":"2009","unstructured":"Bowman, K.A., et al.: Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance. IEEE J. Solid-State Circ. 44(1), 49\u201363 (2009)","journal-title":"IEEE J. Solid-State Circ."},{"issue":"1","key":"8_CR16","doi-asserted-by":"publisher","first-page":"194","DOI":"10.1109\/JSSC.2010.2089657","volume":"46","author":"K Bowman","year":"2011","unstructured":"Bowman, K., et al.: A 45\u00a0nm resilient microprocessor core for dynamic variation tolerance. IEEE J. Solid-State Circ. 46(1), 194\u2013208 (2011)","journal-title":"IEEE J. Solid-State Circ."},{"issue":"9","key":"8_CR17","doi-asserted-by":"publisher","first-page":"2054","DOI":"10.1109\/JSSC.2014.2328658","volume":"49","author":"I Kwon","year":"2014","unstructured":"Kwon, I., et al.: Razor-lite: a light-weight register for error detection by observing virtual supply rails. IEEE J. Solid-State Circ. 49(9), 2054\u20132066 (2014)","journal-title":"IEEE J. Solid-State Circ."},{"issue":"8","key":"8_CR18","first-page":"2290","volume":"61","author":"S Das","year":"2014","unstructured":"Das, S., et al.: A 1\u00a0GHz hardware loop-accelerator with razor-based dynamic adaptation for energy-efficient operation. IEEE Trans. Circ. Syst. I: Regul. Pap. 61(8), 2290\u20132298 (2014)","journal-title":"IEEE Trans. Circ. Syst. I: Regul. Pap."},{"issue":"4","key":"8_CR19","doi-asserted-by":"publisher","first-page":"558","DOI":"10.1109\/TCAD.2014.2304681","volume":"33","author":"Y-M Yang","year":"2014","unstructured":"Yang, Y.-M., et al.: PushPull: short-path padding for timing error resilient circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(4), 558\u2013570 (2014)","journal-title":"IEEE Trans. Comput. Aided Des. Integr. Circuits Syst."},{"issue":"11","key":"8_CR20","doi-asserted-by":"publisher","first-page":"1947","DOI":"10.1109\/TCAD.2007.896305","volume":"26","author":"S Ghosh","year":"2007","unstructured":"Ghosh, S., Bhunia, S., Roy, K.: CRISTA: a new paradigm for low-power, variation-tolerant, and adaptive circuit synthesis using critical path isolation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(11), 1947\u20131956 (2007)","journal-title":"IEEE Trans. Comput. Aided Des. Integr. Circuits Syst."},{"key":"8_CR21","doi-asserted-by":"crossref","unstructured":"Kahng, A.B., et al.: Slack redistribution for graceful degradation under voltage overscaling. In: Proceedings of the 2010 Asia and South Pacific Design Automation Conference, pp. 825\u2013831. IEEE Press (2010)","DOI":"10.1109\/ASPDAC.2010.5419691"},{"key":"8_CR22","doi-asserted-by":"crossref","unstructured":"Karakonstantis, G., Roy, K.: Voltage over-scaling: a cross-layer design perspective for energy efficient systems. In: 2011 20th European Conference on Circuit Theory and Design (ECCTD), pp. 548\u2013551. IEEE (2011)","DOI":"10.1109\/ECCTD.2011.6043592"},{"issue":"6","key":"8_CR23","doi-asserted-by":"publisher","first-page":"10","DOI":"10.1109\/MM.2004.85","volume":"24","author":"D Ernst","year":"2004","unstructured":"Ernst, D., et al.: Razor: circuit-level correction of timing errors for low-power operation. IEEE Micro 24(6), 10\u201320 (2004)","journal-title":"IEEE Micro"},{"key":"8_CR24","doi-asserted-by":"crossref","unstructured":"Ramasubramanian, S.G., Venkataramani, S., Parandhaman, A., Raghunathan, A.: Relax-and-retime: a methodology for energy-efficient recovery based design. In: Proceedings of the 50th Annual Design Automation Conference, p. 111. ACM (2013)","DOI":"10.1145\/2463209.2488871"},{"key":"8_CR25","doi-asserted-by":"crossref","unstructured":"Wan, L., Chen, D.: DynaTune: circuit-level optimization for timing speculation considering dynamic path behavior. In: Proceedings of the 2009 International Conference on Computer-Aided Design, pp. 172\u2013179. ACM (2009)","DOI":"10.1145\/1687399.1687430"},{"key":"8_CR26","doi-asserted-by":"crossref","unstructured":"Greskamp, B., et al.: Blueshift: designing processors for timing speculation from the ground up. In: IEEE 15th International Symposium on High Performance Computer Architecture, HPCA 2009, pp. 213\u2013224. IEEE (2009)","DOI":"10.1109\/HPCA.2009.4798256"},{"key":"8_CR27","doi-asserted-by":"crossref","unstructured":"Wan, L., Chen, D.: CCP: common case promotion for improved timing error resilience with energy efficiency. In: Proceedings of the 2012 ACM\/IEEE International Symposium on Low Power Electronics and Design, pp. 135\u2013140. ACM (2012)","DOI":"10.1145\/2333660.2333695"},{"key":"8_CR28","doi-asserted-by":"crossref","unstructured":"Mohapatra, D., Karakonstantis, G., Roy, K.: Low-power process-variation tolerant arithmetic units using input-based elastic clocking. In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, pp. 74\u201379. ACM (2007)","DOI":"10.1145\/1283780.1283797"},{"issue":"10","key":"8_CR29","doi-asserted-by":"publisher","first-page":"1437","DOI":"10.1109\/TCAD.2009.2030436","volume":"28","author":"J Carmona","year":"2009","unstructured":"Carmona, J., Cortadella, J., Kishinevsky, M., Taubin, A.: Elastic circuits. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 28(10), 1437\u20131455 (2009)","journal-title":"IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst."},{"issue":"5","key":"8_CR30","doi-asserted-by":"publisher","first-page":"497","DOI":"10.1109\/TVLSI.2004.826201","volume":"12","author":"B Shim","year":"2004","unstructured":"Shim, B., Sridhara, S.R., Shanbhag, N.R.: Reliable low-power digital signal processing via reduced precision redundancy. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 12(5), 497\u2013510 (2004)","journal-title":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems"},{"key":"8_CR31","doi-asserted-by":"crossref","unstructured":"Pagliari, D.J., Calimera, A., Macii, E., Poncino, M.: An automated design flow for approximate circuits based on reduced precision redundancy. In: 2015 33rd IEEE International Conference on Computer Design (ICCD), pp. 86\u201393. IEEE (2015)","DOI":"10.1109\/ICCD.2015.7357088"},{"key":"8_CR32","doi-asserted-by":"crossref","unstructured":"Zhou, J., et\u00a0al.: HEPP: a new in-situ timing-error prediction and prevention technique for variation-tolerant ultra-low-voltage designs. In: 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 129\u2013132. IEEE (2013)","DOI":"10.1109\/ASSCC.2013.6690999"},{"issue":"6","key":"8_CR33","doi-asserted-by":"publisher","first-page":"639","DOI":"10.1109\/TVLSI.2008.2000248","volume":"16","author":"A Chakraborty","year":"2008","unstructured":"Chakraborty, A., et al.: Dynamic thermal clock skew compensation using tunable delay buffers. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 16(6), 639\u2013649 (2008)","journal-title":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems"}],"container-title":["IFIP Advances in Information and Communication Technology","VLSI-SoC: Opportunities and Challenges Beyond the Internet of Things"],"original-title":[],"language":"en","link":[{"URL":"https:\/\/link.springer.com\/content\/pdf\/10.1007\/978-3-030-15663-3_8","content-type":"unspecified","content-version":"vor","intended-application":"similarity-checking"}],"deposited":{"date-parts":[[2023,5,16]],"date-time":"2023-05-16T00:04:33Z","timestamp":1684195473000},"score":1,"resource":{"primary":{"URL":"https:\/\/link.springer.com\/10.1007\/978-3-030-15663-3_8"}},"subtitle":[],"short-title":[],"issued":{"date-parts":[[2019]]},"ISBN":["9783030156626","9783030156633"],"references-count":33,"URL":"https:\/\/doi.org\/10.1007\/978-3-030-15663-3_8","relation":{},"ISSN":["1868-4238","1868-422X"],"issn-type":[{"type":"print","value":"1868-4238"},{"type":"electronic","value":"1868-422X"}],"subject":[],"published":{"date-parts":[[2019]]},"assertion":[{"value":"17 May 2019","order":1,"name":"first_online","label":"First Online","group":{"name":"ChapterHistory","label":"Chapter History"}},{"value":"VLSI-SoC","order":1,"name":"conference_acronym","label":"Conference Acronym","group":{"name":"ConferenceInfo","label":"Conference Information"}},{"value":"IFIP\/IEEE International Conference on Very Large Scale Integration - System on a Chip","order":2,"name":"conference_name","label":"Conference Name","group":{"name":"ConferenceInfo","label":"Conference Information"}},{"value":"Abu Dhabi","order":3,"name":"conference_city","label":"Conference City","group":{"name":"ConferenceInfo","label":"Conference Information"}},{"value":"United Arab Emirates","order":4,"name":"conference_country","label":"Conference Country","group":{"name":"ConferenceInfo","label":"Conference Information"}},{"value":"2017","order":5,"name":"conference_year","label":"Conference Year","group":{"name":"ConferenceInfo","label":"Conference Information"}},{"value":"23 October 2017","order":7,"name":"conference_start_date","label":"Conference Start Date","group":{"name":"ConferenceInfo","label":"Conference Information"}},{"value":"25 October 2017","order":8,"name":"conference_end_date","label":"Conference End Date","group":{"name":"ConferenceInfo","label":"Conference Information"}},{"value":"24","order":9,"name":"conference_number","label":"Conference Number","group":{"name":"ConferenceInfo","label":"Conference Information"}},{"value":"vlsi-soc2017","order":10,"name":"conference_id","label":"Conference ID","group":{"name":"ConferenceInfo","label":"Conference Information"}},{"value":"http:\/\/vlsisoc2017.ozyegin.edu.tr\/","order":11,"name":"conference_url","label":"Conference URL","group":{"name":"ConferenceInfo","label":"Conference Information"}},{"value":"This content has been made available to all.","name":"free","label":"Free to read"}]}}